Skip to main content

Layout Aware Electromigration Analysis of Power/Ground Networks

  • Chapter
  • First Online:

Abstract

In this chapter, we briefly introduce physical foundations of electromigration (EM) and present two classical EM-related theories. We discuss physical parameters affecting EM wire lifetime and we introduce some background related to the existing EM physical simulators. In our work, for EM physical simulation we adopt the atomic concentration balance-based model. We discuss the simulation setup and results. We present VEMA—a variation-aware electromigration (EM) analysis tool for power grid wires. The tool considers process variations caused by the chemical–mechanical polishing (CMP) and edge placement error (EPE). It uses a compact model that features critical region extraction and variation coefficient calculation. VEMA is a full-chip EM analysis tool; it extracts the effective jL product values and performs a via-centric EM lifetime calculation on ideally manufactured EM-mortal wires. It analyzes process variation effects on EM reliability and reports variation tolerances of EM-sensitive power grid wires.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. http://en.wikipedia.org/wiki/Electromigration.

  2. J. Lienig, “Invited Talk: Introduction to Electromigration-Aware Physical Design,” International Symposium on Physical Design, 2006, pp. 39-46.

    Google Scholar 

  3. F. Wei, C. L. Gan, T. L. Tan, et.al, “Electromigration-induced extrusion failures in Cu/low-k interconnects,” Journal of Applied Physics, 104, 023529 (2008).

    Article  Google Scholar 

  4. R. S. Sorbello, “Theory of the Direct Force in Electromigration,” Phys. Rev. B, vol. 31, no. 2, pp. 798-804, 1985.

    Article  Google Scholar 

  5. J. R. Black, “Electromigration - A Brief Survey and Some Recent Results,” IEEE Trans. on Electron Devices, Vol. ED-16 (No. 4), pp. 338-347, April 1969.

    Google Scholar 

  6. I. A. Blech, “Electromigration in thin aluminum films on titanium nitride,” J. Appl. Phys., vol. 47 (1976), pp. 1203–1208.

    Article  Google Scholar 

  7. I. A. Blech and C. Herring, “Stress Generation by Electromigration,” Appl. Phys. Lett., vol. 29, no. 3, pp. 131-133, 1976.

    Article  Google Scholar 

  8. I. A. Blech and K. L. Tai, “Measurement of Stress Gradients Generated by Electromigration,” Appl. Phys. Lett, vol. 30, no. 8, pp. 387-389, 1977.

    Article  Google Scholar 

  9. C. Herring, “Diffusional Viscosity of a Polycrystalline Solid,” J. Appl. Phys., vol. 21, pp. 437-445, 1950.

    Article  Google Scholar 

  10. http://www.iue.tuwien.ac.at/phd/orio/node26.html.

  11. E. T. Ogawa, A. J. Bierwag, K.-D. Lee, H. Matsuhashi, P. R. Justinson, and et al., “Direct Observation of a Critical Length Effect in Dual-Damascene Cu/Oxide Interconnects,” Appl. Phys. Lett., vol. 78, no. 18, pp. 2652-2645, 2001.

    Article  Google Scholar 

  12. D. Ney, X. Federspiel, V. Girault, O. Thomas, and P. Gergaud, “Stress-Induced Electromigration Backflow Effect in Copper Interconnects,” Trans. Dev. Mater. Reliab., vol. 6, no. 2, pp. 175-180, 2006.

    Article  Google Scholar 

  13. L. Doyen, E. Petitprez, P. Waltz, X. Federspiel, L. Arnaud, and Y. Wouters, “Extensive Analysis of Resistance Evolution due to Electromigration Induced Degradation,” J. Appl. Phys., vol. 104, p. 123521, 2008.

    Article  Google Scholar 

  14. A. S. Oates and M. H. Lin, “Void Nucleation and Growth Contributions to the Critical Current Density for Failure in Cu Vias,” Proc. Intl. Reliability Physics Symp., pp. 452-456, 2009.

    Google Scholar 

  15. J. W. McPherson and P. B. Ghate, “A methodology for the calculation of continuous dc electromigration equivalents from transient current waveforms,” in Proc. Symp. on Electromigration of Metals, New Orleans, LA, pp. 64-74, Oct. 7-12, 1984.

    Google Scholar 

  16. C. K. Hu, R. Rosenberg, H. S. Rathore, et.al, “Scaling Effect on Electromigration in On-Chip Cu Wiring,” International Conference on Interconnect Technology, 1999, pp. 267-269.

    Google Scholar 

  17. N. Srivastava, K. Banerjee, K. E. Goodson, “Scaling Analysis of Multilevel Interconnect Temperatures for High-Performance ICs,” IEEE Trans. on Electron Devices, volume 52, issue 12, 2005, pp. 2710-2719.

    Article  Google Scholar 

  18. B. Li, C. Christiansen, C. Burke and et al., “Short Line Electromigration Characteristics and their Applications for Circuit Design,” International Reliability Physics Symposium, 2013, pp 3.F.2.1-3.F.2.5.

    Google Scholar 

  19. M. Lin, N. Jou, W. Liang and K. C. Su, “Effect of Multiple Via Layout on Electromigration Performance and Current Density Distribution in Copper Interconnect,” International Reliability Physics Symposium, pp. 844-847, 2009.

    Google Scholar 

  20. N. Raghavan and C. M. Tan, “Statistical Modeling of Via Redundancy Effects on Interconnect Reliability,” International Symposium on the Physical and Failure Analysis of Integrated Circuits, pp. 1-5, 2008.

    Google Scholar 

  21. D. Li, Z. Guan, M. Marek-Sadowska and S. R. Nassif, “Multi-Via Electromigration Lifetime Model,” International Conference on Simulation of Semiconductor Process and Devices, pp. 308-311, 2012.

    Google Scholar 

  22. http://en.wikipedia.org/wiki/Activation_energy.

  23. http://en.wikipedia.org/wiki/Copper_interconnect.

  24. R. L. D. Orio, “Electromigration Modeling and Simulation,” doctoral dissertation, Institute for Microelectronics, TU Wien, 2010.

    Google Scholar 

  25. D. Li, M. Marek-Sadowska and S. R. Nassif, “A Method for Improving Power Grid Resilience to Electromigration-Caused Via Failures,” to appear in IEEE Trans. on VLSI Systems.

    Google Scholar 

  26. D. Dalleau, “3-D Time-depending Simulation of Void Formation in Metallization Structures”, Doctoral thesis, University of Hannover, 2003.

    Google Scholar 

  27. W. Li, C. M. Tan and N. Raghavan, “Dynamic simulation of void nucleation during electromigration in narrow integrated circuit interconnects,” Journal of Applied Physics 105, 014305 (2009).

    Article  Google Scholar 

  28. http://www.ansys.com.

  29. M. Lin, N. Jou, W. Liang and K. C. Su. Effect of Multiple Via Layout on Electromigration Performance and Current Density Distribution in Copper Interconnect. IEEE 47th Annual International Reliability Physics Symposium, Montreal, 2009, pp. 844-847.

    Google Scholar 

  30. B. Li, J. Gill, C. J. Christiansen, et. al. Impact of Via-Line Contact on Cu Interconnect Electromigration Performance. IEEE 43rd Annual International Reliability Physics Symposium, San Jose, 2005, pp. 24-30.

    Google Scholar 

  31. http://en.wikipedia.org/wiki/Chemical-mechanical_planarization.

  32. F. M. Serry, D. Dawson, “Minimizing Dishing and Erosion in Copper CMP,” http://www.veeco.com/pdfs/database_pdfs/minimizing_de_in_copper_cmp_45.pdf.

  33. https://www.si2.org/openeda.si2.org/dfmcdictionary/index.php/Edge_Placement_Error.

  34. S. R. Nassif, “Power grid analysis benchmarks,” Asia and South Pacific Design Automation Conference, 2008, pp. 376-381.

    Google Scholar 

  35. S. P. Hau-Riege, “New Methodologies for Interconnect Reliability Assessments of Integrated Circuits,” Doctoral thesis, Massachusetts Institute of Technology, 2000.

    Google Scholar 

  36. C. W. Chang, Z. –S. Choi, C. V. Thompson, et.al, “Electromiration resistance in a short three-contact interconnect tree,” Journal of Applied Physics 99, 094505 (2006).

    Article  Google Scholar 

  37. H. Qian, S. R. Nassif, and S. S. Sapatnekar, “Power Grid Analysis Using Random Walks,” IEEE Trans. on CAD, vol. 24, no. 8, August 2005, pp. 1204-1224.

    Google Scholar 

  38. S. M. Alam, C. L. Gan, C. V. Thompson, et al, “Reliability computer-aided design tool for full-chip electromigration analysis and comparison with different interconnect metallizations,” Microelectronics Journal 38 (2007) 463-473.

    Article  Google Scholar 

Download references

Acknowledgments

Research support was provided in part by SRC through IBM Corporation and in part by NSF grant CCF-1115663.

Many people have contributed at various stages to this book chapter. In particular we would like to thank our collaborator from IBM, Dr. Baozhen Li for motivating this work and for support. We also thank Xiang Qiu, Zhong Guan and Ali Abbasinasab from the University of California, Santa Barbara for their helpful discussions.

There also have been many discussions with professors at the University of California, Santa Barbara. In particular, we would like to thank Professor Tim Cheng and Professor Li-C Wang.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Malgorzata Marek-Sadowska .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer Science+Business Media New York

About this chapter

Cite this chapter

Li, Da., Marek-Sadowska, M., Nassif, S.R. (2015). Layout Aware Electromigration Analysis of Power/Ground Networks. In: Reis, R., Cao, Y., Wirth, G. (eds) Circuit Design for Reliability. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4078-9_8

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4078-9_8

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4077-2

  • Online ISBN: 978-1-4614-4078-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics