Skip to main content

Compact Modeling of BTI for Circuit Reliability Analysis

  • Chapter
  • First Online:
Circuit Design for Reliability

Abstract

The aging process due to Bias Temperature Instability (BTI) is a key limiting factor of circuit lifetime in contemporary CMOS design. Threshold voltage shift induced by BTI is a strong function of stress voltage and temperature. Furthermore, BTI consists of both stress and recovery phases, depending on the dynamic stress conditions. This behavior poses a unique challenge for long-term aging prediction for a wide range of stress patterns encountered in today’s circuits. Traditional approaches usually resort to an average, constant stress waveform to simplify the lifetime prediction. They are efficient, but fail to capture the reality of circuit operation, especially under Dynamic Voltage Scaling (DVS) or in analog/mixed signal designs where the stress waveform is much more random. In this chapter, we present a suite of modeling solutions that enable aging simulation under all dynamic stress conditions. The key innovation of this chapter is to develop compact models of BTI when the stress voltage is varying. The results cover the underlying physics of two leading mechanisms, Reaction–Diffusion (R–D) and Trapping/Detrapping (T–D). Moreover, silicon validation of these models is performed at 45 and 65 nm technology nodes, at both device and circuit levels. Leveraging the newly developed BTI models under DVS and random input waveforms, efficient aging simulation is demonstrated in representative digital and analog circuits. Our proposed work provides a general and comprehensive solution to circuit aging analysis under random stress patterns.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. C. Constantinescu, “Trends and challenges in vlsi circuit reliability,” IEEE Computer Society, pp. 14–19, 2003.

    Google Scholar 

  2. Semiconductor Industry Associate, International Technology Roadmap for Semiconductors, 2010. (Available at http://public.itrs.net).

  3. D. K. Schroder and J. A. Babcock, “Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing,” Journal of Applied Physics, vol. 94, no. 1, pp. 1-18, 2003.

    Article  Google Scholar 

  4. K. O. Jeppson and C. M. Svensson, “Negative bias stress of MOS devices at high electric fields and degradation of MNOS devices,” J. Applied Physics, vol. 48, no. 5, pp. 2004-2014, 1977.

    Article  Google Scholar 

  5. K. Bernstein, D. J. Frank, A. E. Gattiker, W. Haensch, B. L. Ji, S. R. Nassif, E. J. Nowak, D. J. Pearson, N. J. Rohrer, “High-performance CMOS variability in the 65-nm regime and beyond,” IBM Journal of Research and Development, vol. 50, no. 4/5, pp. 433-449, July 2006.

    Google Scholar 

  6. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De, “Parameter variations and impact on circuits and microarchitecture,” Design Automation Conference, pp. 338-342, 2003.

    Google Scholar 

  7. F. Arnaud, L. Pinzelli, C. Gallon, M. Rafik, P. Mora, F. Boeuf, “Challenges and opportunity in performance, variability and reliability in sub-45 nm CMOS technologies,” Microelectronics Reliability, vol. 51, no. 9-11, pp. 1508-1514, Sept.-Nov. 2011.

    Google Scholar 

  8. B. H. Calhoun, Y. Cao, X. Li, K. Mai, L. T. Pileggi, R. A. Rutenbar, and K. L. Shepard, “Digital circuit design challenges and opportunities in the era of nanoscale CMOS,” Proceedings of the IEEE, vol. 96, no. 2, pp. 343-365, Feb. 2008.

    Google Scholar 

  9. A. Ramadan, “Compact model council’s standard circuit simulator interface for reliability modeling,” International Reliability Physics Symposium, pp. 2A.5.1-2A.5.6, 2013.

    Google Scholar 

  10. J. Hicks, D. Bergstrom, M. Hattendorf, J. Jopling, J. Maiz, S. Pae, et al., “45 nm transistor reliability,” Intel Technology Journal, vol. 12, no. 02, pp. 131-144, Jun. 2008.

    Google Scholar 

  11. S. V. Kumar, C. H. Kim and S. S. Sapatnekar, “Adaptive techniques for overcoming performance degradation due to aging in digital circuits,” Asia and South Pacific Design Automation Conference, pp. 284-289, 2009.

    Google Scholar 

  12. V. Reddy, “Impact of negative bias temperature instability in digital circuit reliability,” International Reliability and Physics Symposium, pp. 248-253, 2002.

    Google Scholar 

  13. R. Zheng, et al., “Circuit aging prediction for low-power operation,” Customs Integrated Circuits Conference, pp. 427-430, 2009

    Google Scholar 

  14. G. Chen, K. Y. Chuah, M. F. Li, Daniel SH Chan, C. H. Ang, J. Z. Zheng, Y. Jin, and D. L. Kwong, “Dynamic NBTI of PMOS transistors and its impact on device lifetime,” International Reliability Physics Symposium, pp. 196-202, 2003.

    Google Scholar 

  15. B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy, “Impact of NBTI on the temporal performance degradation of digital circuits,” IEEE Electronic Device Letters, vol. 26, no. 8, pp. 560-562, Aug. 2005.

    Google Scholar 

  16. R. Vattikonda, W. Wang, and Y. Cao, “Modeling and minimization of pmos nbti effect for robust nanometer design,” IEEE/ACM Design Automation Conference, pp. 1047-1052, Jul. 2006.

    Google Scholar 

  17. M. Agarwal, B. C. Paul, Ming Zhang, and S. Mitra, “Circuit failure prediction and its application to transistor aging,” VLSI Test Symposium, pp. 277-286, 2007.

    Google Scholar 

  18. V. Reddy, A. T. Krishnan, A. Marshall, J. Rodriguez, S. Natarajan, T. Rost, and S. Krishnan, “Impact of negative bias temperature instability on digital circuit reliability ,” International Reliability Physics Symposium, pp. 248-254, 2002.

    Google Scholar 

  19. W. Wang, Z. Wei, S. Yang and Y. Cao, “An efficient method to identify critical gates under circuit aging,” Int. Conference on Computer Aided Design, pp. 735-740, 2007.

    Google Scholar 

  20. A. T. Krishnan, F. Cano, C. Chancellor, V. Reddy, Q. Zhangfen, P. Jain, J. Carulli, J. Masin, S. Zuhoski, S. Krishnan, and J. Ondrusek, “Product drift from NBTI: Guardbanding, circuit and statistical effects,” Int. Electron Devices Meeting, pp. 4.3.1-4.3.4, 2010.

    Google Scholar 

  21. W. Wang, S. Yang, S. Bhardwaj, R. Vattikonda, S. Vrudhula, F. Liu, Y. Cao, “The impact of NBTI effect on combinational circuit: Modeling, simulation, and analysis,” IEEE Transactions on VLSI Systems, vol. 18, no. 2, pp. 173-183, 2010.

    Article  Google Scholar 

  22. H. Sangwoo, K. Juho, “NBTI-aware statistical timing analysis framework,” IEEE International SOC Conference, pp.158-163, 2010.

    Google Scholar 

  23. E. Maricau and G. Gielen, “Computer-aided analog circuit design for reliability in nanometer CMOS,” IEEE Transactions on Emerging and Selected Topics in Circuits and Systems, vol. 1, no. 1, pp. 50-58, Mar. 2011.

    Google Scholar 

  24. A. R. Brown, V. Huard and A. Asenov, “Statistical simulation of progressive NBTI degradation in a 45-nm technology pMOSFET,” IEEE Transactions on Electron Devices, vol. 57, no. 9, pp. 2320-2323, Sept. 2010.

    Google Scholar 

  25. S. Ogawa and N. Shiono, “Generalized diffusion-reaction model for the low-field charge-buildup instability at the Si-SiO2 interface,” Physical Review B, vol. 51, no. 7, pp. 4218-4230, Feb. 1995.

    Google Scholar 

  26. G. Chen, K. Y. Chuah, M. F. Li, D. S. H. Chan, C. H. Ang, J. Z. Zheng, Y. Jin, et al., “Dynamic NBTI of PMOS transistors and its impact on device lifetime,” International Reliability Physics Symposium, pp. 196-202, 2003.

    Google Scholar 

  27. S. Chakravarthi, A. T. Krishnan, V. Reddy, C. F. Machala and S. Krishnan, “A comprehensive framework for predictive modeling of negative bias temperature instability,” International Reliability Physics Symposium, pp. 273-282, 2004.

    Google Scholar 

  28. M. A. Alam, S. Mahapatra, “A comprehensive model of PMOS NBTI degradation,” Microelectronics Reliability, vol. 45, pp. 71-81, 2005.

    Article  Google Scholar 

  29. V. Huard, C. R. Parthasarathy, C. Guerin, M. Denais, “Physical modeling of negative bias temperature instabilities for predictive extrapolation,” International Reliability Physics Symposium, pp. 733-734, 2006.

    Google Scholar 

  30. S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, “An analytical model for Negative Bias Temperature Instability (NBTI),” International Conference for Computer Aided Design, pp. 493-496, 2006.

    Google Scholar 

  31. W. Wang, V. Reddy, A. Krishnan, R. Vattikonda, S. Krishnan and Y. Cao, “Compact modeling and simulation of circuit reliability for 65 nm CMOS technology,” IEEE Transactions on Device and Materials Reliability, vol. 7, no. 4, pp. 509-517, Dec. 2007.

    Google Scholar 

  32. R. Tu, E. Rosenbaum, W. Chan, C. Li, E. Minami, K. Quader, et al., “Berkeley reliability tools – BERT,” IEEE Transactions on Computer-Aided Design of Integrate Circuits and Systems, vol. 12, no. 10, pp. 1524-1534, Oct. 1993.

    Google Scholar 

  33. Reliability Simulation in Integrated Circuit Design, Cadence, 2003.

    Google Scholar 

  34. MOS Device Aging Analysis with HSPICE and CustomSim, Synopsys, 2011.

    Google Scholar 

  35. ELDO User’s Manual, Mentor Graphics, 2005.

    Google Scholar 

  36. V. Huard, M. Denais, “Hole trapping effect on methodology for DC and AC negative bias temperature instability measurements in pMOS transistors,” International Reliability Physics Symposium, pp. 40-45, 2004.

    Google Scholar 

  37. T. Grasser, B. Kaczer, W. Goes, H. Reisinger, T. Aichinger, P. Hehenberger, et al., “The paradigm shift in understanding the bias temperature instability: from reaction-diffusion to switching oxide traps,” IEEE Transactions on Electron Devices, vol. 58, no. 11, pp. 3652-3666, Nov. 2011.

    Google Scholar 

  38. G. I. Wirth, R. da Silva and B. Kaczer, “Statistical model for MOSFET bias temperature instability component due to charge trapping,” IEEE Transactions on Electron Devices, vol. 58, no. 8, pp. 2743-2751, Aug. 2011.

    Google Scholar 

  39. J. B. Velamala, K. B. Sutaria, T. Sato, Y. Cao, “Aging statistics based on trapping/detrapping: silicon evidence, modeling and long-term prediction,” International Reliability Physics Symposium, pp. 2 F.2.1-2 F.2.5, 2012.

    Google Scholar 

  40. T. Grasser, H. Reisinger, W. Goes, T. Aichinger, P. Hehenberger, P.-J. Wagner, M. Nelhiebel, J. Franco, B. Kaczer, “Switching oxide traps as the missing link between negative bias temperature instability and random telegraph noise,” International Electron Devices Meeting, pp.1-4, 2009.

    Google Scholar 

  41. G. I. Wirth, J. Koh, R. da Silva, R. Thewes, and Ralf Brederlow, “Modeling of statistical low-frequency noise of deep-submicron MOSFETs,” Trans. on Electron Dev., vol. 52, pp. 1576-1588, 2005.

    Article  Google Scholar 

  42. A.P. van der Wel, E.A.M. Klumperink, J.S. Kolhatkar, E. Hoekstra, M.S. Snoeij, C. Salm, H. Wallinga, B. Nauta, “Low-Frequency Noise Phenomena in Switched MOSFETs,” IEEE Journal of Solid-State Circuits, vol. 42, no. 3, pp.540-550, March 2007.

    Google Scholar 

  43. M. J. Kirton and M. J. Uren, “Noise in solid-state microstructures: A new perspective on individual defects, interface states and sow-frequency (1/f) noise,” Advances in Physics, vol. 38, p. 367-468, 1989.

    Article  Google Scholar 

  44. G. Wirth, R. da Silva and R. Brederlow, “Statistical model for the circuit bandwidth dependence of low-frequency noise in deep-submicrometer MOSFETs,” Trans. on Electron Devices, vol. 54, pp.340-345, Feb. 2007.

    Google Scholar 

  45. G. Wirth, R. da Silva, P. Srinivasan, J. Krick and R. Brederlow. “Statistical model for MOSFET low-frequency noise under cyclo-stationary conditions,” International Electron Devices Meeting, p.30.5.1-4, 2009.

    Google Scholar 

  46. B. Kaczer, T. Grasser, Ph. J. Rousse, J. Martin-Martinez, R. O’Connor, B. J. O’Sullivan, and G. Groeseneken, “Ubiquitous relaxation in BTI stressing—new evaluation and insights,” International Reliability Physics Symposium, pp. 20-27, 2008.

    Google Scholar 

  47. T. Grasser, H. Reisinger, P.-J. Wagner, F. Schanovsky, W. Goes and B. Kaczer, “The time dependent defect spectroscopy (TDDS) for the characterization of the bias temperature instability,” International Reliability Physics Symposium, pp. 16-25, 2010.

    Google Scholar 

  48. J. B. Velamala, K. B. Sutaria, H. Shimizu, H. Awano, T. Sato, G. Wirth, Yu Cao, “Compact modeling of statistical BTI under trapping/detrapping,” IEEE Transactions on Electron Devices, vol.60, no.11, pp.3645-3654, Nov. 2013

    Google Scholar 

  49. J. B. Velamala, K. Sutaria, H. Shimizu, H. Awano, T. Sato, Y. Cao, “Statistical aging under dynamic voltage scaling: A logarithmic model approach,” Custom Integrated Circuits Conference, pp. 1-4, 2012.

    Google Scholar 

  50. K. Sutaria, J. Velamala, V. Ravi, G. Wirth, T. Sato, Y. Cao, “Multilevel reliability simulation for IC design,” pp. 719-749, in Bias Temperature Instability for Devices and Circuits, edited by T. Grasser, Springer, 2014.

    Google Scholar 

  51. K. B. Sutaria, J. B. Velamala, C. Kim, T. Sato, Y. Cao, “Aging statistics based on trapping/detrapping: Compact modeling and silicon validation,” IEEE Transactions on Device and Materials Reliability, vol. 14, no. 2, pp. 607-615, June 2014.

    Google Scholar 

  52. M. Denais, C. R. Parthasarathy, G. Ribes, Y. Rey-Tauriac, N. Revil, A. Bravaix, V. Huard, F. Perrier, “On-the-fly characterization of NBTI in ultra-thin gate oxide PMOSFET’s,” International Electron Devices Meeting, pp. 109-112, 2004.

    Google Scholar 

  53. H. Reisinger, O. Blank, W. Heinrigs, A. Muhlhoff, W. Gustin, C. Schlunder, “Analysis of NBTI degradation- and recovery-behavior based on ultra-fast VT-measurements,” International Reliability Physics Symposium, 2006.

    Google Scholar 

  54. K. B. Sutaria, A. Ramakumar, R. Zhu, R. Rajeev, Y. Ma, Y. Cao, “BTI-induced aging under random stress waveforms: Modeling, simulation and silicon Validation,” Design Automation Conference, pp. 1-6, 2014.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yu Cao .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer Science+Business Media New York

About this chapter

Cite this chapter

Sutaria, K.B., Velamala, J.B., Ramkumar, A., Cao, Y. (2015). Compact Modeling of BTI for Circuit Reliability Analysis. In: Reis, R., Cao, Y., Wirth, G. (eds) Circuit Design for Reliability. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4078-9_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4078-9_6

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4077-2

  • Online ISBN: 978-1-4614-4078-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics