Skip to main content

Variability-Aware Clock Design

  • Chapter
  • First Online:
Circuit Design for Reliability
  • 2272 Accesses

Abstract

High-performance clock network design has been a challenge for many years due to the drastically increasing effect of process variability. In addition, tight power budgets have lowered supply voltage levels which make designs more sensitive to noise. Together, variability and noise present a colossal challenge to clock designers in order to meet timing, yield, and power simultaneously. This chapter discusses the different strategies that designers use to ameliorate variability and noise problems in clock network design.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agarwal, A., Zolotov, V., Blaauw, D.T.: Statistical timing analysis using bounds and selective enumeration. IEEE Transactions on Computer-Aided Design 22(9), 1243–1260 (2003)

    Article  Google Scholar 

  2. Ajami, A., Banerjee, K., Pedram, M.: Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24(6), 849–861 (2005)

    Article  Google Scholar 

  3. Bailey, D., Benschneider, B.: Clocking design and analysis for a 600-MHz Alpha microprocessor. Journal of Solid-State Circuits (JSSC) 33(11), 1627–1633 (1998)

    Article  Google Scholar 

  4. Benschneider, B.J., Black, A.J., Bowhill, W.J., Britton, S.M., Dever, D.E., Donchin, D.R., Dupcak, R.J., Fromm, R.M., Gowan, M.K., Gronowski, P.E., Kantrowitz, M., Lamere, M.E., Mehta, S., Meyer, J.E., Mueller, R.O., Olesin, A., Preston, R.P., Priore, D.A., Santhanam, S.: A 300-MHz 64-b quad-issue CMOS RISC microprocessor. Journal of Solid-State Circuits (JSSC) 30(11), 1203–1214 (1995)

    Article  Google Scholar 

  5. Blaauw, D., Chopra, K., Srivastava, A., Scheffer, L.: Statistical timing analysis: From basic principles to state of the art. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 27(4), 589–607 (2008)

    Article  Google Scholar 

  6. Boese, K., Kahng, A.: Zero-skew clock routing trees with minimum wirelength. In: ASIC Conf., pp. 1.1.1–1.1.5 (1992)

    Google Scholar 

  7. Chang, H., Sapatnekar, S.: Statistical timing analysis considering spatial correlations using a single PERT-like traversal. In: International Conference on Computer-Aided Design, pp. 621–625 (2003)

    Google Scholar 

  8. Chao, T.H., Hsu, Y.C., Ho, J.: Zero skew clock net routing. In: Design Automation Conference (DAC), pp. 518–523 (1992)

    Google Scholar 

  9. Chen, H., Yeh, C., Wilke, G., Reddy, S., Nguyen, H., Walker, W., Murgai, R.: A sliding window scheme for accurate clock mesh analysis. In: IEEE/ACM International Conference on Computer-Aided Design, ICCAD, pp. 939–946 (2005)

    Google Scholar 

  10. Cong, J., Koh, C.K.: Minimum-cost bounded-skew clock routing. In: International Symposium on Circuits and Systems (ISCAS), pp. 215–218 (1995)

    Google Scholar 

  11. Edahiro, M.: Minimum path-length equi-distant routing. In: Asia-Pacific Conf. on Circuits and Systems, pp. 41–46 (1992)

    Google Scholar 

  12. Elmore, W.C.: The transient response of damped linear networks. Journal of Applied Physics 19, 55–63 (1948)

    Article  Google Scholar 

  13. Forzan, C., Pandini, D.: Statistical static timing analysis: A survey. Integration, the VLSI Journal 42, 409–435 (2009)

    Article  Google Scholar 

  14. Geannopoulos, G., Dai, X.: An adaptive digital deskewing circuit for distribution networks. International Solid-State Circuits Conference (1998)

    Google Scholar 

  15. Gupta, P., Heng, F.L.: Toward a systematic-variation aware timing methodology. In: Design Automation Conference, pp. 321–326 (2004)

    Google Scholar 

  16. Guthaus, M., Sylvester, D., Brown, R.: Clock tree synthesis with data-path sensitivity matching. In: Design Automation Conference, 2008. ASPDAC 2008. Asia and South Pacific, pp. 498–503 (2008)

    Google Scholar 

  17. Guthaus, M.R., Sylvester, D., Brown, R.B.: Process-induced skew reduction in deterministic zero-skew clock trees. In: Asia and South Pacific Design Automation Conference (ASPDAC), pp. 84–89 (2006)

    Google Scholar 

  18. Guthaus, M.R., Wilke, G., Reis, R.: Revisiting automated physical synthesis of high-performance clock networks. ACM Trans. Des. Autom. Electron. Syst. 18(2), 31:1–31:27 (2013)

    Google Scholar 

  19. Hashimoto, M., Yamamoto, T., Onodera, H.: Statistical analysis of clock skew variation in H-tree structure. In: International Symposium on Quality Electronic Design (ISQED), pp. 402–407 (2005)

    Google Scholar 

  20. Hathaway, D., Alvarez, J.P., Belkbale, K.P.: Network timing analysis between signals traversing a common circuit path. United States Patent 5,636,372 (1997)

    Google Scholar 

  21. Huang, D.J.H., Kahng, A.B., Tsao, C.W.A.: On the bounded-skew clock and Steiner routing problems. In: Design Automation Conference (DAC), pp. 508–513 (1995)

    Google Scholar 

  22. Im, S., Srivastava, N., Banerjee, K., Goodson, K.E.: Scaling analysis of multilevel interconnect temperatures for high performance ICs. IEEE Transactions on Electron Devices 52(12), 2710–2719 (2005)

    Article  Google Scholar 

  23. Jackson, M.A.B., Srinivasan, A., Kuh, E.S.: Clock routing for high performance ICs. In: Design Automation Conference (DAC), pp. 573–579 (1990)

    Google Scholar 

  24. Kahng, A.B., Cong, J., Robins, G.: High-performance clock routing based on recursive geometric matching. In: Design Automation Conference (DAC), pp. 322–327 (1991)

    Google Scholar 

  25. Kapoor, A., Jayakumar, N., Khatri, S.P.: A novel clock distribution and dynamic de-skewing methodology. In: International Conference on Computer-Aided Design (ICCAD), pp. 626–631 (2004)

    Google Scholar 

  26. Kim, S.D., Wada, H., Woo, J.C.S.: TCAD-based statistical analysis and modeling of gate line-edge roughness effect on nanoscale MOS transistor performance and scaling. IEEE Transactions on Semiconductor Manufacturing 17(2), 192–200 (2004)

    Article  Google Scholar 

  27. Kurd, N.A., Barktullah, J.S., Dizon, R.O., Fletcher, T.D., Madland, P.D.: A multigigahertz clocking scheme for the pentium 4 microprocessor. IEEE Journal of Solid-State Circuits 36(11), 1647–1653 (2001)

    Article  Google Scholar 

  28. Mallajosyula, A., Zarkesh-Ha, P.: A robust single event upset hardened clock distribution network. In: Integrated Reliability Workshop Final Report, 2008. IRW 2008. IEEE International, pp. 121–124 (2008). DOI 10.1109/IRWS.2008.4796101

  29. Nassif, S.R.: Modeling and forecasting of manufacturing variations (embedded tutorial). In: Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 145–150 (2001)

    Google Scholar 

  30. Ozdal, M.M., Hentschke, R.F.: Exact route matching algorithms for analog and mixed signal integrated circuits. In: Proceedings of the 2009 International Conference on Computer-Aided Design, ICCAD ’09, pp. 231–238. ACM, New York, NY, USA (2009)

    Google Scholar 

  31. Pelgrom, M., Duinmaijer, A., Welbers, A.: Matching properties of MOS transistors. Journal of Solid State Circuits (JSSC) 24(5), 1433–1439 (1989)

    Article  Google Scholar 

  32. Pillage, L.T., Rohrer, R.: Asymptotic waveform evaluation for timing analysis. IEEE Transactions on Computer-Aided Design 9, 352–366 (1990)

    Article  Google Scholar 

  33. Rajaram, A., Damodaran, R., Rajagopal, A.: Practical clock tree robustness signoff metrics. International Symposium on Quality Electronic Design (ISQED) pp. 676–679 (2008)

    Google Scholar 

  34. Ratzlaff, C.L., Gopal, N., Pillage, L.T.: RICE: Rapid interconnect circuit evaluator. In: Proceedings of the 28th conference on ACM/IEEE design automation, pp. 555–560 (1991)

    Google Scholar 

  35. Restle, P., Carter, C., Eckhardt, J., Krauter, B., McCredie, B., Jenkins, K., Weger, A., Mule, A.: The clock distribution of the POWER4 microprocessor. In: International Solid-State Circuits Conference (ISSCC), pp. 144–145 (2002)

    Google Scholar 

  36. Sapatnekar, S.: Timing. Springer (2004)

    Google Scholar 

  37. Steinhogl, W., Schindler, G., Steinlesberger, G., Traving, M., Engelhardt, M.: Impact of line edge roughness on the resistivity of nanometer-scale interconnects. Microelectronic Engineering 76, 126–130 (2004)

    Article  Google Scholar 

  38. Stine, B.E., et al., D.S.B.: The physical and electrical effects of metal-fill patterning practices for oxide chemical-mechanical polishing processes. IEEE Transactions on Electron Devices 45(3), 665–679 (1998)

    Google Scholar 

  39. Sze, C.: Personal communication (2010). IBM Austin Research Lab, Austin, TX

    Google Scholar 

  40. Tam, S., Rusu, S., Desai, U.N., Kim, R., Zhang, J., Young, I.: Clock generation and distribution for the first ia-64 microprocessor. IEEE Journal of Solid-State Circuits 35(11), 1545–1552 (2000)

    Article  Google Scholar 

  41. Teodorescu, T.: Personal communication (2010). ATI Radeon 5870 Clock Designer, Sunnyvale, CA

    Google Scholar 

  42. Tsai, J.L., Zhang, L., Chen, C.C.P.: Statistical timing analysis driven post-silicon tunable clock-tree synthesis. In: International Conference on Computer-Aided Design (ICCAD), pp. 575–581 (2005)

    Google Scholar 

  43. Tsay, R.S.: Exact zero skew. In: International Conference on Computer-Aided Design (ICCAD), pp. 336–339 (1991)

    Google Scholar 

  44. Visweswariah, C.: Death, taxes and failing chips. In: Design Automation Conference, pp. 343–347 (2003)

    Google Scholar 

  45. Visweswariah, C., Ravindran, K., Kalafala, K., Walker, S.G., Narayan, S.: First-order incremental block-based statistical timing analysis. In: Design Automation Conference, pp. 331–336 (2004)

    Google Scholar 

  46. Wang, R., Koh, C.K.: A frequency-domain technique for statistical timing analysis of clock meshes. In: IEEE/ACM international conference on Computer-aided design, ICCAD, pp. 334–339. Piscataway, IEEE Press, San Jose, CA (2007)

    Google Scholar 

  47. Wilke, G., Fonseca, R., Mezzomo, C., Reis, R.: A novel scheme to reduce short-circuit power in mesh-based clock architectures. In: Symposium on Integrated Circuits and System Design (SBCCI), pp. 117–122 (2008)

    Google Scholar 

  48. Ye, X., Li, P., Zhao, M., Panda, R., Hu, J.: Analysis of large clock meshes via harmonic-weighted model order reduction and port sliding. In: IEEE/ACM international conference on Computer-aided design, ICCAD, pp. 627–631. Piscataway, IEEE Press, San Jose, CA (2007)

    Google Scholar 

  49. Zejda, J., Frain, P.: General framework for removal of clock network pessimism. In: International Conference on Computer-Aided Design (ICCAD), pp. 632–639 (2002)

    Google Scholar 

  50. Zhang, L., Yu, W., Zhu, H., Zhang, W., Cheng, C.K.: Clock skew analysis via vector fitting in frequency domain. In: International Symposium on Quality Electronic Design, ISQED, 9., pp. 476–479. Los Alamitos, IEEE Computer Society, San Jose, CA (2008). DOI 10.1109/ISQED.2008.4479780

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Matthew R. Guthaus .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer Science+Business Media New York

About this chapter

Cite this chapter

Guthaus, M.R., Wilke, G. (2015). Variability-Aware Clock Design. In: Reis, R., Cao, Y., Wirth, G. (eds) Circuit Design for Reliability. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4078-9_12

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4078-9_12

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4077-2

  • Online ISBN: 978-1-4614-4078-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics