Skip to main content

Low Power Robust FinFET-Based SRAM Design in Scaled Technologies

  • Chapter
  • First Online:
Circuit Design for Reliability

Abstract

FinFETs have emerged as alternatives to conventional bulk MOSFETs in scaled technologies due to superior gate control of the channel, lower short channel effects and higher scalability. However, width quantization in FinFETs constrains the design space of FinFET-based circuits, especially SRAMs in which transistor sizing is critical for the circuit robustness. The adverse effects of width quantization can be mitigated by appropriate device-circuit co-design of FinFET-based memories. This chapter describes some of such techniques with an emphasis on the device-circuit interactions associated with each methodology. The impact of different technology options in FinFETs like gate-underlap, fin orientation, fin height, gate workfunction and independent control of the gates on the stability, power and performance of 6 T SRAMs is discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Yuan Taur and Tak. H Ning, “Fundamentals of Modern VLSI Devices”, Cambridge University Press, pp: 140–144.

    Google Scholar 

  2. Shekhar Borkar, Tanay Karnik, Siva Narendra, Jim Schantz, Ali Keshavarzi and Vivek De, "Parameter variations and impact on circuits and microarchitecture", Proc. IEEE Design Automation Conference, 2003, pp: 338–342.

    Google Scholar 

  3. G.G. Shahidi, T.H. Ning, T.I. Chappell, J. H. Comfort, B. A. Chappell, R. Franch, C. J. Anderson, P. W. Cook, S. E. Schuster, M.G. Rosenfield, M.R. Polcari, R.H. Dennard and B. Davari, “SOI for a 1-volt CMOS technology and application to a 512 Kb SRAM with 3.5 ns access time”, Int. Electron Device Meeting, Dec 1993, pp: 813–816.

    Google Scholar 

  4. K. K. Young, “Short-Channel Effect in Fully Depleted SOI MOSFETs”, IEEE Trans. Electron Devices, vol. 36, no. 2, 1989, pp: 399–402.

    Article  Google Scholar 

  5. M. Vinet, T. Poiroux, J. Widiez, J. Lolivier, B. Previtali, C. Vizioz, B. Guillaumot, Y. Le Tiec, P. Besson, B. Biasse, F. Allain, M. Casse, D. Lafond, J.-M. Hartmann, Y. Morand, J. Chiaroni and S. Deleonibus, “Bonded planar double-metal-gate NMOS transistors down to 10 nm”, IEEE Electron Device Letters, vol. 26, no. 5, 2005, pp: 317–319.

    Article  Google Scholar 

  6. J.P. Colinge, M.H. Gao, A. Romano-Rodriguez, H. Maes, C. Claeys, “Silicon-on-insulator ‘gate-all-around device’”,Int. Electron Device Meeting, Dec. 1990, pp: 595–598.

    Google Scholar 

  7. J. Widiez, F. Dauge, M. Vinet, T. Poiroux, B. Previtali, M. Mouis, S. Deleonibus, “Experimental gate misalignment analysis on double gate SOI MOSFETs”, Proc. Int. SOI Conf., 2004, pp:185–186.

    Google Scholar 

  8. D. Hisamoto, W. –C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, E. Anderson, T-J King, J. and C. Hu;, “FINFET- a self-aligned double-gate MOSFET scalable to 20 nm”, IEEE Trans. Electron Devices, vol. 47, no. 12, pp: 2320–2325, Dec 2000.

    Google Scholar 

  9. B.S. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, J. Kavalieros, T. Linton, A. Murthy, R. Rios, R. Chau, “High performance fully-depleted tri-gate CMOS transistors,” IEEE Electron Device Letters, vol. 24, no. 4, 2003, pp: 263–265.

    Article  Google Scholar 

  10. P. Ranade, H. Takeuchi, T. –J King and C. Hu, “Work Function Engineering of Molybdenum Gate Electrodes by Nitrogen Implantation,” Electrochemical and Solid State Letters, vol. 4, no. 11, 2001, pp: G85-G87.

    Google Scholar 

  11. B. Yu, L. Chang, S. Ahmed, W. Haihong, S. Bell, Y. Chih-Yuh, C. Tabery, H. Chau, Q. Xiang, T. –J. King, J. Bokor, C. Hu, M. –R. Lin and D. Kyser, “FinFET scaling to 10 nm gate length”, Int. Electron Device Meeting, Dec 2002, pp: 251–254.

    Google Scholar 

  12. T. Ludwig, I. Aller, V. Gernhofer, J. Keinert, E. Nowak, R. V. Joshi, A. Mueller and S. Tomaschko, “FinFET Technology for Future Microprocessors", IEEE SOI Conference, October 2003, pp. 33–34.

    Google Scholar 

  13. D. Lekshmanan, A. Bansal and K. Roy, "FinFET SRAM: Optimizing Silicon Fin Thickness and Fin Ratio to Improve Stability at iso Area," IEEE Custom Integrated Circuits Conference, Sept. 2007, pp. 623–626.

    Google Scholar 

  14. H. Ananthan and K. Roy, "Technology and circuit design considerations in quasi-planar double-gate SRAM,"IEEE Transactions on Electron Devices, vol. 52, no. 2, Feb. 2006, pp. 242–250.

    Google Scholar 

  15. S. Gangwal, S. Mukhopadhyay and K. Roy, "Optimization of Surface Orientation for High-Performance, Low-Power and Robust FinFET SRAM," IEEE Custom Integrated Circuits Conference, Sept 2006, pp. 433–436.

    Google Scholar 

  16. A. Bansal, S. Mukhopadhyay and K. Roy, "Device-Optimization Technique for Robust and Low-Power FinFET SRAM Design in NanoScale Era," IEEE Transactions on Electron Devices, vol. 54, no. 6, 2007, pp. 1409–1419.

    Article  Google Scholar 

  17. A. Goel, S. K. Gupta and K. Roy, “Asymmetric Drain Spacer Extension (ADSE) FinFETs for Low Power and Robust SRAMs”, IEEE Trans. Electron Devices, vol. 58, no. 2,2011, pp:296–308.

    Google Scholar 

  18. K. Endo, S. –I. O’uchi, Y. Ishikawa, Y. Liu, T. Matsukawa, K. Sakamoto, J. Tsukada, K. Ishii, H. Yamauchi, E. Suzuki, M. Masahara,” Enhancing SRAM cell performance by using independent double-gate FinFET”, Int. Electron Device Meeting, Dec. 2008.

    Google Scholar 

  19. A. Carlson, Z. Guo, S. Balasubramanian, R. Zlatanovici, T. J. King Liu, B, Nikolic, “SRAM Read/Write Margin Enhancements using FinFETs”, IEEE Trans. VLSI, vol. 18, no. 6, 2010, pp:887–900.

    Google Scholar 

  20. Z. Liu, S.A. Tawfik, V. Kursun, “An independent-gate FinFET SRAM cell for high data stability and enhanced integration density”, IEEE Int. SOI Conf., 2007, pp: 63–66.

    Google Scholar 

  21. S. A. Tawfik, Z. Liu, and V. Kursun, “Independent-Gate and Tied-Gate FinFET SRAM Circuits: Design Guidelines for Reduced Area and Enhanced Stability”, Int. Conf. Microelectronics, 2007, pp: 171–174.

    Google Scholar 

  22. S. K. Gupta, S. P. Park and K. Roy, “Tri-mode Independent Gate (TMIG) FinFETs for dynamic voltage/frequency scalable 6 T SRAMs,” to appear in IEEE Trans. Electron Devices.

    Google Scholar 

  23. F. Moradi, S. K. Gupta, G. Panagopoulos, D. T. Wisland, H. Mahmoodi and K. Roy, "Asymmetrically Doped FinFETs for Low-Power Robust SRAMs," IEEE TED, vol.58, no.12, pp.4241,4249, Dec. 2011.

    Google Scholar 

  24. S. K. Gupta, J.P. Kulkarni, and K. Roy, "Tri-Mode Independent Gate FinFET-Based SRAM With Pass-Gate Feedback: Technology–Circuit Co-Design for Enhanced Cell Stability," IEEE TED, vol.60, no.11, pp.3696,3704, Nov. 2013

    Google Scholar 

  25. Jan M. Rabaey, Anantha Chandrakasan and Borivoje Nikolic, “Digital Integrated Circuits, A Design Perspective”, Prentice Hall Electronics and VLSI series, pp:657–661.

    Google Scholar 

  26. D. Esseni, A. Abramo, L. Selmi, E. Sangiorgi, “Physically based modeling of low field electron mobility in ultrathin single- and double-gate SOI n-MOSFETs”, IEEE Trans. Electron Devices, vol. 50, no. 12, 2003, pp:2445–2455.

    Google Scholar 

  27. D. Lekshmanan, A. Bansal, K. Roy, “Body Thickness Optimization and Sensitivity Analysis for High Performance FinFETs,” Device Research Conf., June 2007, pp: 91–92.

    Google Scholar 

  28. Y. –K.Choi, T. –J. King, C. Hu, “A Spacer Patterning Technology for Nanoscale CMOS”, IEEE Trans. Electron Devices, vol. 49, no. 3, March 2002, pp:436–441.

    Google Scholar 

  29. F. Bauer. G. Georgakos, D. Schmitt-Landseidel, “A Design Space Comparison of 6 T and 8 T SRAM Core-Cells”, Lecture Notes in Computer Science, vol. 5349, 2009, pp: 116–125.

    Google Scholar 

  30. M. –L. Fan, Y. –S Wu, V P –H Hu, C –Y Hsieh, P. Su, C. –T. Chuang, “Comparison of 4T and 6T FinFET SRAM Cell for Subthreshold Operation Considering Variability – A Model-Based Approach”, IEEE Trans. Electron Devices, vol. 58, no. 3, March 2011, pp:609–616.

    Google Scholar 

  31. K. C. Chun, P. Jain, J. H. Lee and C. H. Kim, “A 3 T Gain Cell Embedded DRAM Utilizing Preferential Boosting for High Density and Low Power On-Die Caches”, IEEE, Journal Solid State Circuits, vol. 46, no. 6. 2011, pp: 1495–1505.

    Article  Google Scholar 

  32. M –L Fan et al, “Comparison of 4T and 6T FinFET SRAM Cell for Subthreshold Operation Considering Variability – A Model-Based Approach”, IEEE Trans. Electron Devices, vol. 58, no. 3, March 2011, pp: 609–616.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kaushik Roy .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer Science+Business Media New York

About this chapter

Cite this chapter

Gupta, S.K., Roy, K. (2015). Low Power Robust FinFET-Based SRAM Design in Scaled Technologies. In: Reis, R., Cao, Y., Wirth, G. (eds) Circuit Design for Reliability. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4078-9_11

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4078-9_11

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4077-2

  • Online ISBN: 978-1-4614-4078-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics