Skip to main content

Circuit Techniques to Assist SRAM Cell: Local Assist Circuitry

  • Chapter
  • First Online:
SRAM Design for Wireless Sensor Networks

Part of the book series: Analog Circuits and Signal Processing ((ACSP))

  • 1444 Accesses

Abstract

SRAM cell design is a critical feature in achieving technology scaling benefits for SOC designs. The reduced voltage level and the utilization of low-power (LP) CMOS technologies are required for the low leakage SRAM cell design. The reduction in VDD and the increased threshold voltage aggravates variability for the SRAM cell design. This result in degradation of Iread, read static noise margin (SNM), and write-ability (WM) of SRAM cell as discussed in Chap. 2. The design optimizations done in improving one parameter often ends up in worsening the other. Read SNM (functionality) is of utmost concern and SRAM design techniques to improve the read SNM come at the expense of detrimental impact on Iread. Therefore, conventional SRAM 6T cell design is a highly constrained area-stability-power-performance trade off design effort. Local assist circuit techniques with hierarchical bit-lines are becoming increasingly necessary to maintain the SRAM cell functionality and to achieve performance target at the cost of a minimal area increase. The use of local assist circuits alleviates the complex design trade off effort of SRAM cell design. This chapter discusses various circuit assist techniques to alleviate the complex design trade off of SRAM cell design. The different assist techniques discussed are as follows.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • L. Chang et al., An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J. Solid-State Circ. 43(4), 956–962 (2008)

    Article  Google Scholar 

  • S. Cosemans et al., A low power embedded SRAM for wireless applications. IEEE J. Solid-State Circ. 42(7), 1607–1617 (2007)

    Article  Google Scholar 

  • S. Cosemans et al., A 3.6pJ/access 480 MHz, 128Kbit on-chip SRAM with 850 MHz boost mode in 90 nm CMOS with tunable sense amplifiers. IEEE J. Solid-State Circ. 44(7), 2065–2077 (2009)

    Article  Google Scholar 

  • S. Ishikura et al., A 45 nm 2-port 8T-SRAM using hierarchical replica bit line technique with immunity from simultaneous R/W access issues. IEEE J. Solid-State Circ. 43(4), 938–944 (2008)

    Article  Google Scholar 

  • A. Karandikar, K.K. Parhi, Low power SRAM design using hierarchical divided bit-line approach. in Proceedings. International Conference on Computer Design: VLSI in Computers and Processors, pp 82–88, (1998)

    Google Scholar 

  • A. Kawasumi et al., A single-power-supply 0.7 V 1 GHz 45 nm SRAM with an asymmetrical unit- β-ratio memory cell. ISSCC Dig. Tech. Pap. 622, 382–383 (2008)

    Google Scholar 

  • K. Kushida et al., A 0.7 V single-supply SRAM With 0.495 μm2 cell in 65 nm technology utilizing self-write-back sense amplifier and cascaded bit line scheme. IEEE J. Solid-State Circ. 44(4), 1192–1198 (2009)

    Article  Google Scholar 

  • H. Pilo et al., An SRAM design in 65 nm technology node featuring read and write-assist circuits to expand operating voltage. IEEE J. Solid-State Circ. 42(4), 813–819 (2007)

    Article  Google Scholar 

  • V. Sharma et.al., Hierarchical buffered segmented bit-lines. US Patent no. 13/105,806, Nov 2011

    Google Scholar 

  • V. Sharma et al., Ultra Low Power Litho Friendly Local Assist Circuitry For Variability Resilient 8T SRAM Cell, Design Automation and Test in Europe (DATE) (Dresden, March, 2012), pp. 11–17

    Google Scholar 

  • V. Sharma, et.al., A 4.4pJ/Access 80 MHz, 2 K Word X 64b memory with write masking feature and variability resilient multi-sized sense amplifier redundancy for W.S Nodes. Proceedings of IEEE European Solid State Circuits Conference (ESSCIRC), pp. 358–361, Sept 2010

    Google Scholar 

  • B.D. Yang, L.S. Kim, A low-power SRAM using hierarchical bit line and local sense amplifiers. IEEE J. Solid-State Circ. 40(6), 1366–1376 (2005)

    Article  MathSciNet  Google Scholar 

  • S.Yoshimoto et.al., A 40 nm 0.5 V 20.1 uW/MHz 8T SRAM with low-energy disturb mitigation scheme Symposium on VLSI Circuits Digest of Technical Papers, pp. 72–73, June 2011

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Sharma, V., Catthoor, F., Dehaene, W. (2013). Circuit Techniques to Assist SRAM Cell: Local Assist Circuitry. In: SRAM Design for Wireless Sensor Networks. Analog Circuits and Signal Processing. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4039-0_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4039-0_4

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4038-3

  • Online ISBN: 978-1-4614-4039-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics