Skip to main content

Process Variation Challenges and Solutions Approaches

  • Chapter
  • First Online:
Analog Circuit Design for Process Variation-Resilient Systems-on-a-Chip

Abstract

The technical and economic impacts of worsening process variations and intra-die device mismatches are elaborated in this chapter, especially with regards to product yield, reliability, and manufacturing cost. This introduction is followed by a survey of diverse built-in testing and calibration approaches aimed at enhancing performance, yield, and reliability in the presence of variations.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. C. Chiang, J. Kawa, Design for Manufacturability and Yield for Nano-scale CMOS (Springer, Dordrecht, 2007), pp. 14–15

    Google Scholar 

  2. W. Zhao, Y. Cao, F. Liu, K. Agarwal, D. Acharyya, S. Nassif, K. Nowka, Rigorous extraction of process variations for 65 nm CMOS design, in Proceedings of European Solid-State Device Research Conference (ESSDERC), Sept 2007, pp. 89–92

    Google Scholar 

  3. G.W. Roberts, B. Dufort, Making complex mixed-signal telecommunication integrated circuits testable. IEEE Commun. Mag. 90–96 (1999)

    Google Scholar 

  4. A. Zjajo, J.P. de Gyvez, Evaluation of signature-based testing of RF/analog circuits. Proc. Eur.Test Symp. 62–67 (2005)

    Google Scholar 

  5. G.G.E. Gielen, Design methodologies and tools for circuit design in CMOS nanometer technologies, in Proceedings of European Solid-State Device Research Conference (ESSDERC), Sept 2006, pp. 21–32

    Google Scholar 

  6. H. Masuda, M. Tsunozaki, T. Tsutsui, H. Nunogami, A. Uchida, K. Tsunokuni, A novel wafer-yield PDF model and verification with 90–180 nm SOC chips. IEEE Trans. Semicond. Manuf. 21(4), 585–591 (2008)

    Article  Google Scholar 

  7. V.A. Zivkovic, F. van der Heyden, G. Gronthoud, F. de Jong, Analog test bus infrastructure for RF/AMS modules in core-based design, in Proceedings of 13th European Test Symposium, May 2008, pp. 27–32

    Google Scholar 

  8. K. Agarwal, J. Hayes, S. Nassif, Fast characterization of threshold voltage fluctuation in MOS devices. IEEE Trans. Semicond Manuf. 21(4), 526–533 (2008)

    Article  Google Scholar 

  9. J.P.F. Glas, Digital I/Q imbalance compensation in a low-IF receiver, in Proceedings of IEEE Global Telecommunications Conference (GLOBECOM), vol. 3, Nov 1998, pp. 1461–1466

    Google Scholar 

  10. W. Eberle, J. Tubbax, B. Come, S. Donnay, H. De Man, G. Gielen, OFDM-WLAN receiver performance improvement using digital compensation techniques, in Proceedings IEEE Radio and Wireless Conference (RAWCON), Aug 2002, pp. 111–114

    Google Scholar 

  11. I. Elahi, K. Muhammad, P.T. Balsara, I/Q mismatch compensation using adaptive decorrelation in a low-IF receiver in 90 nm CMOS process. IEEE J Solid-State Circuits 41(2), 395–404 (2006)

    Article  Google Scholar 

  12. B. Shi, Y. W. Chia, An analog mismatch calibration system for image-reject receivers, in Proceedings of European Conference on Wireless Technology, Oct 2005, pp. 225–228

    Google Scholar 

  13. R.B. Staszewski, I. Bashir, O. Eliezer, RF Built-in self test of a wireless transmitter. IEEE Trans Circuits Syst Express Briefs 54, 186–190 (2007)

    Article  Google Scholar 

  14. R. Montemayor, B. Razavi, A self-calibrating 900 MHz CMOS image-reject receiver, in Proceedings of Euopean Solid-State Circuits Conference (ESSCIRC), Sept 2000, pp. 320–323

    Google Scholar 

  15. M.A.I. Elmala, S.H.K. Embabi, Calibration of phase and gain mismatches in weaver image-reject receiver. IEEE J Solid-State Circuits 39(2), 283–289 (2004)

    Article  Google Scholar 

  16. J.-Y. Ryu, B.C. Kim, I. Sylla, A new low-cost RF built-in self-test measurement for system-on-chip transceivers. IEEE Trans. Instrum. Meas. 55(2), 381–388 (2006)

    Article  Google Scholar 

  17. Q. Yin, W.R. Eisenstadt, R.M. Fox, T. Zhang, A translinear RMS detector for embedded test of RF ICs. IEEE Trans. Instrum. Meas. 54(5), 1708–1714 (2005)

    Article  Google Scholar 

  18. S. Bhattacharya, A. Chatterjee, Use of embedded sensors for built-in-test RF circuits, in Proceedings of IEEE International Test Conference (ITC), Oct 2004, pp. 801–809

    Google Scholar 

  19. Q. Wang, M. Soma, RF front-end system gain and linearity built-in test, in Proceedings of 24th IEEE VLSI Test Symposium, May 2006, pp. 228–233

    Google Scholar 

  20. A. Valdes-Garcia, R. Venkatasubramanian, J. Silva-Martinez, E. Sánchez-Sinencio, A broadband CMOS amplitude detector for on-chip RF measurements. IEEE Trans. Instrum. Meas. 57(7), 1470–1477 (2008)

    Article  Google Scholar 

  21. T. Das, A. Gopalan, C. Washburn, P.R. Mukund, Self-calibration of input-match in RF front-end circuitry. IEEE Trans. Circuits Syst. Express Briefs 52(12), 821–825 (2005)

    Article  Google Scholar 

  22. V. Stopjakova, H. Manhaeve, M. Sidiropulos, On-chip transient current monitor for testing of low-voltage CMOS IC, in Proceedings of Design, Automation and Test in Europe Conference and Exhibition, Mar 1999, pp. 538–542

    Google Scholar 

  23. A.P. Jose, K.A. Jenkins, S.K. Reynolds, On-chip spectrum analyzer for analog built-in self test, in Proceedings of IEEE VLSI Test Symposium, May 2005, pp. 131–136

    Google Scholar 

  24. A. Valdes-Garcia, F.A.-L. Hussien, J. Silva-Martinez, E. Sánchez-Sinencio, An integrated frequency response characterization system with a digital interface for analog testing. IEEE J Solid-State Circuits 41(10), 2301–2313 (2006)

    Article  Google Scholar 

  25. J.J. Dabrowski, R.M. Ramzan, Built-in loopback test for IC RF transceivers. IEEE Trans. Very Large Scale Integr. VLSI Syst. 18(6), 933–946 (2010)

    Article  Google Scholar 

  26. M. Onabajo, J. Silva-Martinez, F. Fernandez, E. Sánchez-Sinencio, An on-chip loopback block for RF transceiver built-in test. IEEE Trans. Circuits Syst. Express Briefs 56(6), 444–448 (2009)

    Article  Google Scholar 

  27. G. Srinivasan, A. Chatterjee, F. Taenzler, Alternate loop-back diagnostic tests for wafer-level diagnosis of modern wireless transceivers using spectral signatures, in Proceedings 24th VLSI Test Symposium, May 2006, pp. 222–227

    Google Scholar 

  28. A. Haider, S. Bhattacharya, G. Srinivasan, A. Chatterjee, A system-level alternate test approach for specification test of RF transceivers in loopback mode, in Proceedings of 18th International Conference on VLSI Design, Jan 2005, pp. 289–294

    Google Scholar 

  29. M. Negreiros, L. Carro, A.A. Susin, An improved RF loopback for test time reduction, in Proceedings of Design, Automation, and Test in Europe Conference and Exhibition, Mar 2006, pp. 646–651

    Google Scholar 

  30. D. Kaczman, M. Shah, M. Alam, M. Rachedine, D. Cashen, L. Han, A. Raghavan, A single-chip 10-band WCDMA/HSDPA 4-band GSM/EDGE SAW-less CMOS receiver with DigRF 3G interface and +90 dBm IIP2. IEEE J. Solid-State Circuits 44(3), 718–739 (2009)

    Article  Google Scholar 

  31. H. Darabi, J. Chiu, S. Khorram, H.J. Kim, Z. Zhou, H.-M. Chien, B. Ibrahim, E. Geronaga, L.H. Tran, A. Rofougaran, A dual-mode 802.11b/Bluetooth radio in 0.35 μm CMOS. IEEE J. Solid-State Circuits 40(3), 698–706 (2005)

    Article  Google Scholar 

  32. Y.-H. Hsieh, W.-Y. Hu, S.-M. Lin, C.-L. Chen, W.-K. Li, S.-J. Chen, D.J. Chen, An auto-I/Q calibrated CMOS transceiver for 802.11g. IEEE J. Solid-State Circuits 40(11), 2187–2192 (2005)

    Article  Google Scholar 

  33. I. Vassiliou, K. Vavelidis, T. Georgantas, S. Plevridis, N. Haralabidis, G. Kamoulakos, C. Kapnistis, S. Kavadias, Y. Kokolakis, P. Merakos, J.C. Rudell, A. Yamanaka, S. Bouras, I. Bouras, A single-chip digitally calibrated 5.15–5.825 GHz 0.18 μm CMOS transceiver for 802.11a wireless LAN. IEEE J. Solid-State Circuits 38(12), 2221–2231 (2003)

    Article  Google Scholar 

  34. O. Eliezer, R.B. Staszewski, D. Mannath, A statistical approach for design and testing of analog circuitry in low-cost SoCs, in Proceedings of IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Aug 2010, pp. 461–464

    Google Scholar 

  35. M. Onabajo, F. Fernandez, J. Silva-Martinez, E. Sánchez-Sinencio, Strategic test cost reduction with on-chip measurement circuitry for RF transceiver front-ends—an overview. Proc. IEEE Int. Midwest Symp. Circuits Syst. (MWSCAS) 2, 643–647 (2006)

    Google Scholar 

  36. K. Kivekas, A. Parssinen, J. Ryynanen, J. Jussila, K. Halonen, Calibration techniques of active BiCMOS mixers. IEEE J. Solid-State Circuits 37(6), 766–769 (2002)

    Article  Google Scholar 

  37. M. Mobarak, M. Onabajo, J. Silva-Martinez, E. Sánchez-Sinencio, Attenuation- predistortion linearization of CMOS OTAs with digital correction of process variations in OTA-C filter applications. IEEE J. Solid-State Circuits 45(2), 351–367 (2010)

    Article  Google Scholar 

  38. N. Ahsan, J. Dabrowski, A. Ouacha, A self-tuning technique for optimization of dual band LNA, in Proceedings of Euopean Conference Wireless Technology (EuWiT), Oct 2008, pp. 178–181

    Google Scholar 

  39. C.-H. Liao, H.-R. Chuang, A 5.7 GHz 0.18 μm CMOS gain-controlled differential LNA with current reuse for WLAN receiver. IEEE Microwave Compon. Lett. 13(12), 526–528 (2003)

    Article  Google Scholar 

  40. H. Amir-Aslanzadeh, E.J. Pankratz, E. Sánchez-Sinencio, A 1 V +31 dBm IIP3, reconfigurable, continuously tunable, power-adjustable active-RC LPF. IEEE J. Solid-State Circuits 44(2), 495–508 (2009)

    Article  Google Scholar 

  41. M. Miyazaki, G. Ono, K. Ishibashi, A 1.2-GIPS/W microprocessor using speed-adaptive threshold-voltage CMOS with forward bias. IEEE J. Solid-State Circuits 37(2), 210–217 (2002)

    Article  Google Scholar 

  42. K.A. Bowman, J.W. Tschanz, S.L. Lu, P.A. Aseron, M.M. Khellah, A. Raychowdhury, B.M. Geuskens, C. Tokunaga, C.B. Wilkerson, T. Karnik, V.K. De, A 45 nm resilient microprocessor core for dynamic variation tolerance. IEEE J. Solid-State Circuits 46(1), 194–208 (2011)

    Article  Google Scholar 

  43. Y.-B. Kim, K. K. Kim, J. Doyle, A CMOS low power fully digital adaptive power delivery system based on finite state machine control, in Proceedings of IEEE International Symposium Circuits and Systems (ISCAS), May 2007, pp. 1149–1152

    Google Scholar 

  44. M. Bhushan, A. Gattiker, M.B. Ketchen, K.K. Das, Ring oscillators for CMOS process tuning and variability control. IEEE Trans. Semicond. Manuf. 19(1), 10–18 (2006)

    Article  Google Scholar 

  45. J. Tschanz, N. S. Kim, S. Dighe, J. Howard, G. Ruhl, S. Vanga, S. Narendra, Y. Hoskote, H. Wilson, C. Lam, M. Shuman, C. Tokunaga, D. Somasekhar, S. Tang, D. Finan, T. Karnik, N. Borkar, N. Kurd, V. De, Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, Feb 2007, pp. 292–604

    Google Scholar 

  46. S.-C. Lin, K. Banerjee, A design-specific and thermally-aware methodology for trading-off power and performance in leakage-dominant CMOS technologies. IEEE Trans. Very Large Scale Integr. VLSI Syst. 16(11), 1488–1498 (2008)

    Article  Google Scholar 

  47. K. Woo, S. Meninger, T. Xanthopoulos, E. Crain, D. Ha, D. Ham;, Dual-DLL-based CMOS all-digital temperature sensor for microprocessor thermal monitoring, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, Feb 2009, pp. 68–69, 69a

    Google Scholar 

  48. P. Ituero, J.L. Ayala, M. Lopez-Vallejo, A nanowatt smart temperature sensor for dynamic thermal management. IEEE Sens. J. 8(12), 2036–2043 (2008)

    Article  Google Scholar 

  49. S. Dighe, S.R. Vangal, P. Aseron, S. Kumar, T. Jacob, K.A. Bowman, J. Howard, J. Tschanz, V. Erraguntla, N. Borkar, V.K. De, S. Borkar, Within-die variation-aware dynamic-voltage-frequency-scaling with optimal core allocation and thread hopping for the 80-core TeraFLOPS processor. IEEE J. Solid-State Circuits 46(1), 184–193 (2011)

    Article  Google Scholar 

  50. T. Fischer, J. Desai, B. Doyle, S. Naffziger, B. Patella, A 90 nm variable frequency clock system for a power-managed itanium architecture processor. IEEE J. Solid-State Circuits 41(1), 218–228 (2006)

    Article  Google Scholar 

  51. N. Drego, A. Chandrakasan, D. Boning, D. Shah, Reduction of variation-induced energy overhead in multi-core processors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(6), 891–904 (2011)

    Article  Google Scholar 

  52. A. Allen, J. Desai, F. Verdico, F. Anderson, D. Mulvihill, D. Krueger, Dynamic frequency-switching clock system on a quad-core Itanium® processor, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, Feb 2009, pp. 62–63, 63a

    Google Scholar 

  53. K. Lakshmikumar, R. Hadaway, M.A. Copeland, Characterization and modeling of mismatch in MOS transistors for precision analog design. J. Solid-State Circuits 21(12), 1057–1066 (1986)

    Article  Google Scholar 

  54. P.R. Kinget, Device mismatch and tradeoffs in the design of analog circuits. J. Solid-State Circuits 40(6), 1212–1224 (2005)

    Article  Google Scholar 

  55. K.K. Kim, W. Wang, K. Choi, On-chip aging sensor circuits for reliable nanometer MOSFET digital circuits. IEEE Trans. Circuits Syst. Express Briefs 57(10), 798–802 (2010)

    Article  Google Scholar 

  56. R. Rao, K.A. Jenkins, J.-J. Kim, A local random variability detector with complete digital on-chip measurement circuitry. IEEE J. Solid-State Circuits 44(9), 2616–2623 (2009)

    Article  Google Scholar 

  57. N. Mehta, B. Amrutur, Dynamic supply and threshold voltage scaling for CMOS digital circuits using in situ power monitor, to appear in IEEE Transaction on Very Large Scale Integration (VLSI) Systems

    Google Scholar 

  58. K.K. Kim, Y.-B. Kim, A novel adaptive design methodology for minimum leakage power considering PVT variations on nanoscale VLSI systems. IEEE Trans. Very Large Scale Integr. VLSI Syst. 17(4), 517–528 (2009)

    Article  Google Scholar 

  59. J.W. Tschanz, J.T. Kao, S.G. Narendra, R. Nair, D.A. Antoniadis, A.P. Chandrakasan, V. De, Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE J. Solid-State Circuits 37(11), 1396–1402 (2002)

    Article  Google Scholar 

  60. M. Mostafa, M. Anis, M. Elmasry, On-chip process variations compensation using an analog adaptive body bias (A-ABB), to appear in IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Google Scholar 

  61. R. McGowen, C.A. Poirier, C. Bostak, J. Ignowski, M. Millican, W.H. Parks, S. Naffziger, Power and temperature control on a 90 nm itanium family processor. IEEE J. Solid-State Circuits 41(1), 229–237 (2006)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media New York

About this chapter

Cite this chapter

Onabajo, M., Silva-Martinez, J. (2012). Process Variation Challenges and Solutions Approaches. In: Analog Circuit Design for Process Variation-Resilient Systems-on-a-Chip. Springer, Boston, MA. https://doi.org/10.1007/978-1-4614-2296-9_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-2296-9_2

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4614-2295-2

  • Online ISBN: 978-1-4614-2296-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics