Skip to main content

Part of the book series: Analog Circuits and Signal Processing ((ACSP))

  • 1086 Accesses

Abstract

In this chapter several 60 GHz components are presented and designed in standard CMOS technologies with intrinsically high performance without exhibiting smartness for post-fabrication performance fine tuning. In Chap. 5, smart component will be discussed which are capable of performance tuning for process spreading compensation.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Janssen E, Mahmoudi R, van der Heijden E, Sakian P, de Graauw A, Pijper R, van Roermund A (2010) Fully balanced 60 GHz LNA with 37% bandwidth, 3.8 dB NF, 10 dB gain and constant group delay over 6 GHz bandwidth. 10th topical meeting on silicon monolithic integrated circuits in RF systems, Jan 2010

    Google Scholar 

  2. Lee TH (2004) The design of CMOS radio-frequency integrated circuits. Cambridge University Press, Cambridge

    Google Scholar 

  3. Shaeffer DK, Lee TH (1997) A 1.5-V, 1.5-GHz CMOS low noise amplifier. IEEE J Solid-State Circuits 32(5):745–759

    Article  Google Scholar 

  4. Yao T, Gordon MQ, Tang KKW, Yau KHK, Yang M-T, Schvan P, Voinigescu SP (2007) Algorithmic design of CMOS LNAs and PAs for 60-GHz radio. IEEE J Solid-State Circuits 42(5):1044–1057

    Article  Google Scholar 

  5. Zhuo W, Embabi S, Pineda de Gyvez J, Sanchez-Sinencio E (2000) Using capacitive cross-coupling technique in RF low noise amplifiers and down-conversion mixer design. Proceedings of European solid state circuits conference, Sep. 2000, pp 116–119

    Google Scholar 

  6. Bruccoleri F, Klumperink EAM, Nauta B (2001) Generating all 2-MOS transistors amplifiers leads to new wide-band LNAs. IEEE J Solid-State Circuits 36(7):1032–1040

    Article  Google Scholar 

  7. Bruccoleri F, Klumperink EAM, Nauta B (2004) Wide-band CMOS low-noise amplifier exploiting thermal noise canceling. IEEE J Solid-State Circuits 39(2):275–282

    Article  Google Scholar 

  8. Cassan DJ, Long JR (2003) A 1-V transformer-feedback low-noise amplifier for 5-GHz wireless LAN in 0.18-μm CMOS. IEEE J Solid-State Circuits 38(3):427–435

    Article  Google Scholar 

  9. Mason SJ (1954) Power gain in feedback amplifiers. Trans IRE Prof Group Circuit Theory CT-1(2):20–25

    Article  Google Scholar 

  10. Gupta MS (1992) Power gain in feedback amplifiers, a classic revisited. IEEE Trans Microw Theory Tech 40(5):864–879

    Article  Google Scholar 

  11. Singhakowinta A, Boothroyd AR (1964) On linear twoport amplifiers. IEEE Trans Circuit Theory CT-11(1):169

    Google Scholar 

  12. Cheema HM, Sakian P, Janssen E, Mahmoudi R, van Roermund A (2009) Monolithic transformers for high frequency bulk CMOS circuits. IEEE topical meeting on silicon monolithic integrated circuits in RF systems, Jan 2009

    Google Scholar 

  13. Cohen E, Ravid S, Ritter D (2008) An ultra low power LNA with 15 dB gain and 4.4 dB NF in 90 nm CMOS process for 60 GHz phase array radio. IEEE radio frequency integrated circuits symposium, June 2008, pp 61–64

    Google Scholar 

  14. Siligaris A, Mounet C, Reig B, Vincent P, Michel A (2008) CMOS SOI technology for WPAN. Application to 60 GHz LNA. IEEE international conference on integrated circuit design and technology and tutorial, June 2008

    Google Scholar 

  15. Borremans J, Raczkowski K, Wambacq P (2009) A digitally controlled compact 57-to-66 GHz front-end in 45 nm digital CMOS. IEEE international solid-state circuits conference – digest of technical papers, Feb 2009, pp 492–493

    Google Scholar 

  16. Weyers C, Mayr P, Kunze JW, Langmann U (2008) A 22.3 dB voltage gain 6.1 dB NF 60 GHz LNA in 65 nm CMOS with differential output. IEEE international solid-state circuits conference – digest of technical papers, Feb 2008, pp 192–606

    Google Scholar 

  17. Razavi B (1997) Design considerations for direct-conversion receivers. IEEE Trans Circuits Syst II: Analog Digit Signal Process 44(6):428–435

    Article  Google Scholar 

  18. Gilbert B (1968) A precise four-quadrant multiplier with subnanosecond response. IEEE J Solid-State Circuits 3(4):365–373

    Article  Google Scholar 

  19. Darabi H, Abidi AA (2000) Noise in RF-CMOS mixers: a simple physical model. IEEE J Solid-State Circuits 35(1):15–25

    Article  Google Scholar 

  20. Lee S-G, Choi J-K (2000) Current-reuse bleeding mixer. Electron Lett 36(8):696–697

    Article  Google Scholar 

  21. Vitali S, Franchi E, Gnudi A (2007) RF I/Q downconverter with gain/phase calibration. IEEE Trans Circuits Syst II: Exp Briefs 54(4):367–371

    Article  Google Scholar 

  22. Razavi B (2006) A 60-GHz CMOS receiver front-end. IEEE J Solid-State Circuits 41(1):17–22

    Article  Google Scholar 

  23. Razavi B (1997) A 900-MHz CMOS direct conversion receiver. Symposium on VLSI circuits digest of technical papers, June 1997, pp 113–114

    Google Scholar 

  24. Heydari P (2004) High-frequency noise in RF active CMOS mixers. Proceedings of the Asia and South Pacific design automation conference, Jan 2004, pp 57–61

    Google Scholar 

  25. Cheng W, Annema AJ, Croon JA, Nauta B (2011) Noise and nonlinearity modeling of active mixers for fast and accurate estimation. IEEE Trans Circuits Syst I: Reg Pap 58(2):276–289

    Article  MathSciNet  Google Scholar 

  26. Weiner DD, Spina JE (1980) Sinusoidal analysis and modeling of weakly nonlinear circuits. Van Norstrand Reinhold, New York

    Google Scholar 

  27. Wambacq P, Sansen W (1998) Distortion analysis of analog integrated circuits. Kluwer, Boston

    Google Scholar 

  28. Terrovitis MT, Meyer RG (2000) Intermodulation distortion in current-commutating CMOS mixers. IEEE J Solid State Circuits 35(10):1461–1473

    Article  Google Scholar 

  29. Manstretta D, Brandolini M, Svelto F (2003) Second-order intermodulation mechanisms in CMOS downconverters. IEEE J Solid State Circuits 38(3):394–406

    Article  Google Scholar 

  30. Dufrene K, Boos Z, Weigel R (2007) A 0.13μm 1.5V CMOS I/Q downconverter with digital adaptive IIP2 calibration. IEEE international solid-state circuits conference digest of technical papers, Feb 2007, pp 86–589

    Google Scholar 

  31. Wang J, Wong AKK (2011) Effects of mismatch on CMOS double-balanced mixers: a theoretical analysis. 2001 IEEE Hong Kong electron devices meeting, Hong Kong, 2001

    Google Scholar 

  32. Zhang F, Skafidas E, Shieh W (2007) A 60-GHz double-balanced Gilbert cell down-conversion mixer on 130-nm CMOS. IEEE radio frequency integrated circuits symposium, Honolulu, June 2007

    Google Scholar 

  33. Parsa A, Razavi B (2009) A new transceiver architecture for the 60-GHz band. IEEE J Solid-State Circuits 44(3):751–762

    Article  Google Scholar 

  34. Rofougaran A, Rael J, Rofougaran M, Abidi A (1996) A 900 MHz CMOS LC oscillator with quadrature outputs. IEEE international solid-state circuits conference digest of technical papers, Feb 1996, pp 392–393

    Google Scholar 

  35. Laskin E, Rylyakov A (2009) A 136-GHz dynamic divider in SiGe technology. IEEE topical meeting on silicon monolithic integrated circuits in RF systems, Jan 2009

    Google Scholar 

  36. Ng AWL, Luong HC (2007) A 1-V 17-GHz 5-mW CMOS quadrature VCO based on transformer coupling. IEEE J Solid-State Circuits 42(9):1933–1941

    Article  Google Scholar 

  37. Cheema HM, Mahmoudi R, van Roermund A (2010) On the importance of chip-level EM-simulations for 60-GHz CMOS circuits. European microwave integrated circuits conference, Sep. 2010, pp 246–249

    Google Scholar 

  38. Cheema HM (2010) Flexible phase-locked loops and millimeter wave PLL components for 60-GHz wireless networks in CMOS. PhD Dissertation, Eindhoven University of Technology

    Google Scholar 

  39. Baghdady EJ, Lincoln RN, Nelin BD (1965) Short-term frequency stability: characterization, theory, and measurement. Proc IEEE 53(7):704–722

    Article  Google Scholar 

  40. Cutler LS, Searle CL (1966) Some aspects of the theory and measurement of frequency fluctuations in frequency standards. Proc IEEE 54(2):136–154

    Article  Google Scholar 

  41. Leeson DB (1966) A simple model of feedback oscillator noise spectrum. Proc IEEE 54(2):329–330

    Article  Google Scholar 

  42. Rael JJ, Abidi AA (2000) Physical processes of phase noise in differential LC oscillators. Proceedings of the IEEE custom integrated circuits conference, 2000, pp 569–572

    Google Scholar 

  43. Hajimiri A, Lee TH (1998) A general theory of phase noise in electrical oscillators. IEEE J Solid-State Circuits 33(2):179–194

    Article  Google Scholar 

  44. Hajimiri A, Lee TH (1998) Corrections to “A general theory of phase noise in electrical oscillators”. IEEE J Solid-State Circuits 33(6):928

    Article  Google Scholar 

  45. Andreani P, Xiaoyan W, Vandi L, Fard A (2005) A study of phase noise in colpitts and LC-tank CMOS oscillators. IEEE J Solid-State Circuits 40(5):1107–1118

    Article  Google Scholar 

  46. Andreani P, Fard A (2006) More on the 1/f2 phase noise performance of CMOS differential-pair LC-tank oscillators. IEEE J Solid-State Circuits 41(12):2703–2712

    Article  Google Scholar 

  47. Fard A, Andreani P (2007) An analysis of 1/f2 phase noise in bipolar colpitts oscillators (with a digression on bipolar differential-pair LC oscillators). IEEE J Solid-State Circuits 42(2):374–384

    Article  Google Scholar 

  48. Mazzanti A, Andreani P (2008) Class-C harmonic CMOS VCOs, with a general result on phase noise. IEEE J Solid-State Circuits 43(12):2716–2729

    Article  Google Scholar 

  49. Murphy D, Rael JJ, Abidi AA (2010) Phase noise in LC oscillators: a phasor-based analysis of a general result and of loaded Q. IEEE Trans Circuits Syst I: Reg Pap 57(6):1187–1203

    Article  MathSciNet  Google Scholar 

  50. Kim DD, Kim J, Plouchart JO, Cho C, Li W, Lim D, Trzcinski R, Kumar M, Norris C, Ahlgren D (2007) A 70 GHz manufacturable complementary LC-VCO with 6.14 GHz tuning range in 65 nm SOI CMOS. IEEE international solid-state circuits conference digest of technical papers, Feb 2007, pp 540–541

    Google Scholar 

  51. Huang D, Hant W, Wang N-Y, Ku TW, Gu Q, Wong R, Chang MC-F (2006) A 60 GHz CMOS VCO using on-chip resonator with embedded artificial dielectric for side, loss and noise reduction. IEEE international solid-state circuits conference digest of technical papers, Feb 2006, pp 314–315

    Google Scholar 

  52. Parvais B, Scheir K, Vidojkovic V, Vandebriel R, Vandersteen G, Soens C, Wambacq P (2010) A 40 nm LP CMOS PLL for high-speed mm-wave communication. 2010 Proceedings of the 36th European solid-state circuits conference, Sep. 2010

    Google Scholar 

  53. Bozzola S, Guermandi D, Mazzanti A, Svelto F (2008) An 11.5% frequency tuning, -184dBc/Hz noise FOM 54 GHz VCO. IEEE radio frequency integrated circuits symposium, June 2008, pp 657–660

    Google Scholar 

  54. Musa A, Murakami R, Sato T, Chiavipas W, Okada K, Matsuzawa A (2010) A 58-63.6GHz quadrature PLL frequency synthesizer in 65nm CMOS. 2010 IEEE Asian solid state circuits conference, Nov 2010

    Google Scholar 

  55. Notten MGM, Veenstra H (2008) 60GHz quadrature signal generation with a single phase VCO and polyphase filter in a 0.25μm SiGe BiCMOS technology. IEEE bipolar/BiCMOS circuits and technology meeting, Oct 2008, pp 178–181

    Google Scholar 

  56. Ellinger F, Morf T, Buren GV, Kromer C, Sialm G, Rodoni L, Schmatz M, Jackel H (2004) 60GHz VCO with wideband tuning range fabricated on VLSI SOI CMOS technology. IEEE MTT-S international microwave symposium digest of technical papers, vol 3, pp 1329–1332

    Google Scholar 

  57. Lont M, Mahmoudi R, van der Heijden E, de Graauw A, Sakian P, Baltus P, van Roermund A (2009) A 60GHz Miller effect based VCO in 65nm CMOS with 10.5% tuning range. IEEE topical meeting on silicon monolithic integrated circuits in RF systems, 19–21 Jan 2009

    Google Scholar 

  58. Stadius K, Kaumisto R, Porra V (1999) Varactor diodeless harmonic VCOs for GHz-range applications. ICECS 1:505–508

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media New York

About this chapter

Cite this chapter

Sakian, P., Mahmoudi, R., van Roermund, A. (2012). Component Design at 60 GHz. In: RF-Frontend Design for Process-Variation-Tolerant Receivers. Analog Circuits and Signal Processing. Springer, Boston, MA. https://doi.org/10.1007/978-1-4614-2122-1_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-2122-1_4

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4614-2121-4

  • Online ISBN: 978-1-4614-2122-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics