Skip to main content

Adaptability: The Key for Future Embedded Systems

  • Chapter
  • First Online:
Adaptable Embedded Systems

Abstract

Conflicting trends can be observed in the hardware industry for embedded systems, which are presently being required to run several different applications with distinctive behaviors, becoming more heterogeneous. At the same time, users also demand these systems to operate during an extended period of time, creating extra pressure for energy efficiency. While transistor size shrinks, processors are getting more sensitive to fabrication defects, aging and soft faults, which increase the costs associated with their production. To make this situation even worse, in most of the time designers are stuck with the need to sustain binary compatibility, in order to support the huge amount of embedded software already deployed.In this challenging context, adaptability in multiple levels is the key for sustaining the aforementioned requirements. Embedded systems must adapt themselves to better execute their applications with the lowest possible power dissipation, while respecting their original functional behavior and their set of non-functional constraints (such as maximum execution time or power budget). They also must adapt when scheduling these different applications to be executed on their distinct hardware components, depending on availability, performance requirements and energy budget; or still adapt themselves to keep working when a defect comes from the fabrication process, or when a fault appears at runtime. High resilience allows increased yield and reduced costs, even with aggressive scaling or by the use of unreliable technologies or operation in harsh environments.This chapter overviews the toughest challenges that embedded software and hardware engineers face when designing new devices and systems, and how these systems are expected to grow in complexity in the forthcoming years. In the end of this chapter it will become clear how only aggressive adaptability can tackle these conflicting design constraints in a sustainable fashion, and still allow huge fabrication volumes. Each challenge is developed in details throughout the next chapters, providing an extensive literature review as well as settling a promising research agenda for adaptability.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Austin, T., Blaauw, D., Mahlke, S., Mudge, T., Chakrabarti, C., Wolf, W.: Mobile supercomputers. Computer 37(5), 81–83 (2004). doi:http://dx.doi.org/10.1109/MC.2004.1297253

  2. Bjerregaard, T., Mahadevan, S.: A survey of research and practices of network-on-chip. ACM Comput. Surv. 38(1) (2006). doi:http://doi.acm.org/10.1145/1132952.1132953.

  3. Borkar, S., Chien, A.A.: The future of microprocessors. Commun. ACM 54(5), 67–77 (2011). doi:10.1145/1941487.1941507. http://doi.acm.org/10.1145/1941487.1941507

    Google Scholar 

  4. Burger, D., Goodman, J.R.: Billion-transistor architectures: there and back again. Computer 37(3), 22–28 (2004). doi:http://dx.doi.org/10.1109/MC.2004.1273999

    Google Scholar 

  5. Burns, J., Gaudiot, J.L.: Smt layout overhead and scalability. IEEE Trans. Parallel Distrib. Syst. 13(2), 142–155 (2002). doi:http://dx.doi.org/10.1109/71.983942

    Google Scholar 

  6. Conte, G., Tommesani, S., Zanichelli, F.: The long and winding road to high-performance image processing with mmx/sse. In: CAMP ’00: Proceedings of the Fifth IEEE International Workshop on Computer Architectures for Machine Perception (CAMP’00), p. 302. IEEE Computer Society, Washington, DC (2000)

    Google Scholar 

  7. Flynn, M.J., Hung, P.: Microprocessor design issues: Thoughts on the road ahead. IEEE Micro. 25(3), 16–31 (2005). doi:http://dx.doi.org/10.1109/MM.2005.56

    Google Scholar 

  8. Fujimura, A.: All lithography roads ahead lead to more e-beam innovation. In: Future Fab. Int. (37), http://www.future-fab.com (2011)

  9. Isci, C., Buyuktosunoglu, A., Cher, C., Bose, P., Martonosi, M.: An analysis of efficient multi-core global power management policies: maximizing performance for a given power budget. In: Proceedings of the 39th annual IEEE/ACM International Symposium on Microarchitecture, MICRO 39, pp. 347–358. IEEE Computer Society, Washington, DC (2006). doi:10.1109/MICRO.2006.8

    Google Scholar 

  10. ITRS: ITRS 2011 Roadmap. Tech. rep., International Technology Roadmap for Semiconductors (2011)

    Google Scholar 

  11. Kim, N.S., Austin, T., Blaauw, D., Mudge, T., Flautner, K., Hu, J.S., Irwin, M.J., Kandemir, M., Narayanan, V.: Leakage current: Moore’s law meets static power. Computer 36(12), 68–75 (2003). doi:http://dx.doi.org/10.1109/MC.2003.1250885

    Google Scholar 

  12. Koufaty, D., Marr, D.T.: Hyperthreading technology in the netburst microarchitecture. IEEE Micro. 23(2), 56–65 (2003)

    Article  Google Scholar 

  13. Powell, M., Yang, S.H., Falsafi, B., Roy, K., Vijaykumar, T.N.: Gated-vdd: a circuit technique to reduce leakage in deep-submicron cache memories. In: Proceedings of the 2000 International Symposium on Low Power Electronics and Design, ISLPED ’00, pp. 90–95. ACM, New York (2000). doi:10.1145/344166.344526. http://doi.acm.org/10.1145/344166.344526

  14. Pradhan, D.K.: Fault-Tolerant Computer System Design. Prentice Hall, Upper Saddle River (1996)

    Google Scholar 

  15. Prakash, T.K., Peng, L.: Performance characterization of spec cpu2006 benchmarks on intel core 2 duo processor. ISAST Trans. Comput. Softw. Eng. 2(1), 36–41 (2008)

    Google Scholar 

  16. Rutenbar, R.A., Baron, M., Daniel, T., Jayaraman, R., Or-Bach, Z., Rose, J., Sechen, C.: (when) will fpgas kill asics? (panel session). In: DAC ’01: Proceedings of the 38th Annual Design Automation Conference, pp. 321–322. ACM, New York (2001). doi:http://doi.acm.org/10.1145/378239.378499

  17. Sima, D.: Decisive aspects in the evolution of microprocessors. Proc. IEEE 92(12), 1896–1926 (2004)

    Article  Google Scholar 

  18. Thompson, S., Parthasarathy, S.: Moore’s law: The future of si microelectronics. Mater. Today 9(6), 20–25 (2006)

    Article  Google Scholar 

  19. Thompson, S.E., Chau, R.S., Ghani, T., Mistry, K., Tyagi, S., Bohr, M.T.: In search of “forever,” continued transistor scaling one new material at a time. IEEE Trans. Semicond. Manuf. 18(1), 26–36 (2005). doi:10.1109/TSM.2004.841816. http://dx.doi.org/10.1109/TSM.2004.841816

    Google Scholar 

  20. Vahid, F., Lysecky, R.L., Zhang, C., Stitt, G.: Highly configurable platforms for embedded computing systems. Microelectron. J. 34(11), 1025–1029 (2003)

    Article  Google Scholar 

  21. Wall, D.W.: Limits of instruction-level parallelism. In: ASPLOS-IV: Proceedings of the Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 176–188. ACM, New York (1991). doi:http://doi.acm.org/10.1145/106972.106991

  22. White, M., Chen, Y.: Scaled cmos technology reliability users guide. Tech. rep., Jet Propulsion Laboratory, National Aeronautics and Space Administration (2008)

    Google Scholar 

  23. Yang, S., et al: 28nm metal-gate high-k cmos soc technology for high-performance mobile applications. In: Custom Integrated Circuits Conference (CICC), 2011 IEEE, pp. 1–5 (2011). doi:10.1109/CICC.2011.6055355

    Google Scholar 

  24. Zhang, C., Vahid, F., Najjar, W.: A highly configurable cache architecture for embedded systems. In: Proceedings of the 30th Annual International Symposium on Computer Architecture, ISCA ’03, pp. 136–146. ACM, New York (2003). doi:10.1145/859618.859635. http://doi.acm.org/10.1145/859618.859635

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Antonio Carlos Schneider Beck .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Beck, A.C.S., Lisbôa, C.A.L., Carro, L., Nazar, G.L., Pereira, M.M., Ferreira, R.R. (2013). Adaptability: The Key for Future Embedded Systems. In: Beck, A., Lang Lisbôa, C., Carro, L. (eds) Adaptable Embedded Systems. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-1746-0_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-1746-0_1

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-1745-3

  • Online ISBN: 978-1-4614-1746-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics