Skip to main content

Reuse of System-Level Validation Efforts

  • Chapter
  • First Online:
System-Level Validation
  • 1068 Accesses

Abstract

Transaction-level modeling (TLM) is widely used to enable early exploration for both hardware and software designs. It can reduce the overall design and validation effort of complex system-on-chip (SoC) architectures. However, due to lack of automated techniques coupled with limited reuse of validation efforts between different abstraction levels, SoC validation is becoming a major bottleneck. This chapter presents a novel top-down methodology for automatically generating register transfer level (RTL) tests from TLM tests. Case studies using a router example and a 64-bit Alpha AXP pipelined processor demonstrate that the presented approach can achieve intended functional coverage of the RTL designs as well as can capture various functional errors and inconsistencies between specifications and implementations.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Abrar S, Thimmapuram A (2010) Functional refinement: a generic methodology for managing ESL abstractions. In: Proceedings of international conference on VLSI design (VLSID), pp 122–127

    Google Scholar 

  2. Bombieri N, Fummi F, Pravadelli G, Marques-Silva J (2007) Towards equivalence checking between TLM and RTL models. In: Proceedings of international conference on formal methods and models for co-design (MEMOCODE), pp 113–122

    Google Scholar 

  3. Bruce A, Hashmi M, Nightingale A, Beavis S, Romdhane N, Lennard C (2006) Maintaining consistency between SystemC and RTL system designs. In: Proceedings of design automation conference (DAC), pp 85–89

    Google Scholar 

  4. Cai L, Gajski D (2003) Transaction level modeling: an overview. In: Proceedings of international conference on hardware/software codesign and system, synthesis (CODES+ISSS), pp 19–24

    Google Scholar 

  5. Ghenassia F (2005) Transaction-level modeling with SystemC: TLM concepts and applications for embedded systems. Springer, Dordrecht

    Google Scholar 

  6. Hsiung P, Lin C, Liao C (2008) Perfecto: a SystemC-based design-space exploration framework for dynamically reconfigurable architectures. ACM Tran Reconfigurable Technol Syst (TRETS) 3(1):69–81

    Google Scholar 

  7. Kogel T, Doerper M, Kempf T, Wieferink A, Leupers R, Meyr H (2008) Virtual architecture mapping: a SystemC based methodology for architectural exploration of system-on-chips. Int J Embed Syst (IJES) 3(3):150–159

    Article  Google Scholar 

  8. Shin D, Gerstlauer A, Peng J, Dömer R, Gajski D (2006) Automatic generation of transaction level models for rapid design space exploration. In: Proceedings of international conference on hardware/software codesign and system, synthesis (CODES+ISSS), pp 64–69

    Google Scholar 

  9. Wang Z, Ye Y (2005) The improvement for transaction level verification functional coverage. In: Proceedings of international symposium on circuits and systems (ISCAS), pp 5850–5853

    Google Scholar 

  10. Abdi S, Gajski D (2005) A formalism for functionality preserving system level transformations. In Proceedings of Asia and South Pacific design automation conference (ASPDAC), pp 139–144

    Google Scholar 

  11. Moy M, Maraninchi F, Maillet-Contoz L (2005) Lussy: a toolbox for the analysis of systems-on-a-chip at the transactional level. In: Proceedings of the international conference on application of concurrency to system design, pp 26–35

    Google Scholar 

  12. Karlsson D, Eles P, Peng Z (2006) Formal verification of Systemc designs using a petri-net based representation. In: Proceedings of design, automation, and test in Europe (DATE), pp 1228–1233

    Google Scholar 

  13. Habibi A, Tahar S (2006) Design and verification of SystemC transaction-level models. IEEE Trans Very Large Scale Integr Syst (TVLSI) 14(1):57–68

    Article  Google Scholar 

  14. Bombieri N, Fummi F, Pravadelli G (2006) On the evaluation of transactor-based verification for reusing TLM assertions and testbenches at RTL. In: Proceedings of design, automation, and test in Europe (DATE), pp 1–6

    Google Scholar 

  15. Balarin F, Passerone R (2006) Functional verification methodology based on formal interface specification and transactor generation. In: Proceedings of design, automation, and test in Europe (DATE), pp 1013–1018

    Google Scholar 

  16. Bombieri N, Fummi F, Pravadelli G (2007) Incremental ABV for functional validation of TL-to-RTL design refinement. In: Proceedings of design automation and test in Europe (DATE), pp 882–887

    Google Scholar 

  17. Jindal R, Jain K (2003) Verification of transaction-level SystemC models using RTL testbenches. In: Proceedings of the international conference on formal methods and models for co-design (MEMOCODE), pp 199–203

    Google Scholar 

  18. Ara K, Suzuki K (2003) A proposal for transaction-level verification with component wrapper language. In: Proceedings of design automation and test in Europe (DATE): designers’ forum, p 20082

    Google Scholar 

  19. Chen M, Mishra P, Kalita D (2012) Automatic RTL test generation from SystemC TLM specifications. Accepted to appear in ACM Transactions on Embedded, Computing Systems (TECS)

    Google Scholar 

  20. Ferrandi F, Fummi F, Gerli L, Sciuto D (1999) Symbolic functional vector generation for VHDL specifications. In: Proceedings of design automation and test in Europe (DATE), pp 442–446

    Google Scholar 

  21. Kupferman O, Vardi M (1999) Vacuity detection in temporal model checking. In: Proceedings of correct hardware design and verification methods (CHARME), pp 82–96

    Google Scholar 

  22. Mishra P, Dutt N (2008) Specification-driven directed test generation for validation of pipelined processors. ACM Trans Des Autom Electron Syst (TODAES) 13(3):1–36

    Article  Google Scholar 

  23. Strichman O (2001) Pruning techniques for the SAT-based bounded model checking problem. In: Proceedings of correct hardware design and verification methods (CHARME), pp 58–70

    Google Scholar 

  24. Chen M, Mishra P (2010) Functional test generation using efficient property clustering and learning techniques. IEEE Trans Comput-Aided Des Integr Circuits Syst (TCAD) 29(3):396–404

    Article  Google Scholar 

  25. Chen M, Mishra P (2011) Property learning techniques for efficient generation of directed tests. IEEE Trans Comput (TC) 60(6):852–864

    Article  MathSciNet  Google Scholar 

  26. Chen M, Qin X, Mishra P (2010) Efficient decision ordering techniques for SAT-based test generation. In: Proceedings of design, automation and test in Europe (DATE), pp 490–495

    Google Scholar 

  27. Chen M, Mishra P (2011) Decision ordering based property decomposition for functional test generation. In: Proceedings of design, automation and test in Europe (DATE), pp 167–172

    Google Scholar 

  28. Koo H, Mishra P (2009) Functional test generation using design and property decomposition techniques. ACM Trans Embed Comput Syst (TECS) 8(4): 32:1–32:33

    Google Scholar 

  29. McPeak S (1999) Elsa. http://www.eecs.berkeley.edu/smcpeak

  30. McMillan K (2002) SMV model checker, cadence berkeley laboratory. http://embedded.eecs.berkeley.edu/Alumni/kenmcmil/smv

  31. SYNOPSYS (2007) VCS verification library. http://www.synopsys.com

  32. Sites R (1992) Alpha AXP architecture. Digit Tech J 4(4):51–65

    Google Scholar 

  33. FBK-irst, CMU (2006) NUSMV. http://nusmv.irst.itc.it/

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mingsong Chen .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Chen, M., Qin, X., Koo, HM., Mishra, P. (2013). Reuse of System-Level Validation Efforts. In: System-Level Validation. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-1359-2_12

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-1359-2_12

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-1358-5

  • Online ISBN: 978-1-4614-1359-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics