Skip to main content

2-Port SRAM Bitcell Design

  • Chapter
  • First Online:
Robust SRAM Designs and Analysis

Abstract

A low power, minimum transistor count and fast access Static Random Access Memories (SRAMs) are essential for embedded multimedia and communication applications realized using System-on-Chip (SoC) technology. Hence, simultaneous or parallel read/write (R/W) access multi-port SRAM bitcells are widely employed in such embedded systems to enhance the memory bandwidth. In this chapter, multi-port SRAM bitcells are studied and their merits and de-merits are highlighted. A case study of 2-port six transistors (6T) SRAM bitcell with multi-port capabilities at reduced area overhead as compared to existing 2-port 7T and 8T SRAM bitcells, is also presented. A major challenge in designing the multi-port SRAM bitcells is maintaining the simultaneous read and write access without hampering the stability of neighbouring bitcells is a prime concern for which an array organization is also discussed which eliminates the partial write disturbance. Re-configuration of separate read-port of 7T SRAM bitcell reduces the bitline leakage current and makes the bitline sensing more robust and avoids the erroneous read operation caused due to false pull-down of the bitline. The process variation sensitivity analysis of different SRAM bitcells is also discussed to identify which SRAM transistor is in lead role to minimize the process variation effect.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Aly, R., Bayoumi, M.: Low-power cache design using 7T SRAM cell. IEEE Trans. Circuit Syst. II. Express Briefs 54(4), 318–322 (2007)

    Article  Google Scholar 

  2. Arnaud, F., Boeuf, F., Salvetti, F., Lenoble, D., Wacquant, F., Regnier, C., Morin, P., Emonet, N., Denis, E., Oberlin, J., Ceccarelli, D., Vannier, P., Imbert, G., Sicard, A., Perrot, C., Belmont, O., Guilmeau, I., Sassoulas, P., Delmedico, S., Palla, R., Leverd, F., Beverina, A., DeJonghe, V., Broekaart, M., Pain, L., Todeschini, J., Charpin, M., Laplanche, Y., Neira, D., Vachellerie, V., Borot, B., Devoivre, T., Bicais, N., Hinschberger, B., Pantel, R., Revil, N., Parthasarathy, C., Planes, N., Brut, H., Farkas, J., Uginet, J., Stolk, P., Woo, M.: A functional 0.69 mu;m2 embedded 6T-SRAM bit cell for 65 nm CMOS platform. In: Symposium on VLSI Technology, 2003. Digest of Technical Papers, pp. 65–66 (2003). doi:10.1109/VLSIT.2003.1221088

  3. Bhavnagarwala, A.J., Tang, X., Meindl, J.D.: The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circuit 36, 658–665 (2001)

    Article  Google Scholar 

  4. Boeuf, F., Arnaud, F., Boccaccio, C., Salvetti, F., Todeschini, J., Pain, L., Jurdit, M., Manakli, S., Icard, B., Planes, N., Gierczynski, N., Denorme, S., Borot, B., Ortolland, C., Duriez, B., Tavel, B., Gouraud, P., Broekaart, M., Dejonghe, V., Brun, P., Guyader, F., Morini, P., Reddy, C., Aminpur, M., Laviron, C., Smith, S., Jacquemin, J., Mellier, M., Andre, F., Bicais-Lepinay, N., Jullian, S., Bustos, J., Skotnicki, T.: 0.248 mu;m2 and 0.334 mu;m2 conventional bulk 6T-SRAM bit-cells for 45 nm node low cost – general purpose applications. In: Symposium on VLSI Technology, 2005. Digest of Technical Papers, pp. 130–131 (2005). doi:10.1109/.2005.1469240

  5. Calhoun, B.H., Chandrakasan, A.P.: A 256-kb 65-nm sub-threshold SRAM design for ultralow-voltage operation. IEEE J. Solid-State Circuit 42(3), 680–688 (2007)

    Article  Google Scholar 

  6. Chang, H., Sapatnekar, S.: Full-chip analysis of leakage power under process variations, including spatial correlations. In: Proceedings of the 42nd Design Automation Conference 2005, pp. 523–528 (2005). doi:10.1109/DAC.2005.193865

  7. Chang, L., Fried, D., Hergenrother, J., Sleight, J., Dennard, R., Montoye, R., Sekaric, L., McNab, S., Topol, A., Adams, C., Guarini, K., Haensch, W.: Stable SRAM cell design for the 32 nm node and beyond. In: Symposium on VLSI Technology, 2005. Digest of Technical Papers, Kyoto, pp. 128–129. 14–16 June 2005

    Google Scholar 

  8. Chang, L., Montoye, R., Nakamura, Y., Batson, K., Eickemeyer, R., Dennard, R., Haensch, W., Jamsek, D.: An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J. Solid-State Circuit 43(4), 956–963 (2008)

    Article  Google Scholar 

  9. Gupta, V., Anis, M.: Statistical design of the 6T SRAM bit cell. IEEE Trans. Circuit Syst. I. Regul. Pap. 57(1), 93–104 (2010). doi:10.1109/TCSI.2009.2016633

    Article  MathSciNet  Google Scholar 

  10. Hobson, R.: A new single-ended SRAM cell with write-assist. IEEE Trans. Very Large Scale Integr. Syst. 15(2), 173–181 (2007)

    Article  Google Scholar 

  11. Itoh, K., Sasaki, K., Nakagome, Y.: Trends in low-power ram circuit technologies. Proc. IEEE 83(4), 524–543 (1995). doi:10.1109/5.371965

    Article  Google Scholar 

  12. Lee, D., Kwong, W., Blaauw, D., Sylvester, D.: Analysis and minimization techniques for total leakage considering gate oxide leakage. In: Proceedings of the Design Automation Conference 2003, Anaheim, pp. 175–180 (2003)

    Google Scholar 

  13. Leobandung, E., Nayakama, H., Mocuta, D., Miyamoto, K., Angyal, M., Meer, H., McStay, K., Ahsan, I., Allen, S., Azuma, A., Belyansky, M., Bentum, R.V., Cheng, J., Chidambarrao, D., Dirahoui, B., Fukasawa, M., Gerhardt, M., Gribelyuk, M., Halle, S., Harifuchi, H., Harmon, D., Heaps-Nelson, J., Hichri, H., Ida, K., Inohara, M., Inouc, I., Jenkins, K., Kawamura, T., Kim, B., Ku, S.K., Kumar, M., Lane, S., Liebmann, L., Logan, R., Melville, I., Miyashita, K., Mocuta, A., O’Neil, P., Ng, M.F., Nogami, T., Nomura, A., Norris, C., Nowak, E., Ono, M., Panda, S., Penny, C., Radens, C., Ramachandran, R., Ray, A., Rhee, S.H., Ryan, D., Shinohara, T., Sudo, G., Sugaya, F., Strane, J., Tan, Y., Tsou, L., Wang, L., Wirbeleit, F., Wu, S., Yamashita, T., Yan, H., Ye, Q., Yoneyama, D., Zamdmer, D., Zhong, H., Zhu, H., Zhu, W., Agnello, P., Bukofsky, S., Bronner, G., Crabbe, E., Freeman, G., Huang, S.F., Ivers, T., Kuroda, H., McHerron, D., Pellerin, J., Toyoshima, Y., Subbanna, S., Kepler, N., Su, L.: High performance 65 nm soi technology with dual stress liner and low capacitance SRAM cell. In: Symposium on VLSI Technology, 2005. Digest of Technical Papers, pp. 126–127 (2005). doi:10.1109/.2005.1469238

  14. Mahmoodi, H., Mukhopadhyay, S., Roy, K.: Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits. IEEE J. Solid-State Circuit 40(9), 1787–1796 (2005)

    Article  Google Scholar 

  15. Mann, R.W., Abadeer, W.W., Breitwisch, M.J., Bula, O., Brown, J.S., Colwill, B.C., Cottrell, P.E., Crocco, W.T., Furkay, S.S., Hauser, M.J., Hook, T.B., Hoyniak, D., Johnson, J.M., Lam, C.M., Mih, R.D., Rivard, J., Moriwaki, A., Phipps, E., Putnam, C.S., Rainey, B.A., Toomey, J.J., Younus, M.I.: Ultralow-power SRAM technology. IBM J. Res. Dev. 47(5.6), 553–566 (2003). doi:10.1147/rd.475.0553

  16. Nii, K., Masuda, Y., Yabuuchi, M., Tsukamoto, Y., Ohbayashi, S., Imaoka, S., Igarashi, M., Tomita, K., Tsuboi, N., Makino, H., Ishibashi, K., Shinohara, H.: A 65 nm ultra-high-density dual-port SRAM with 0.71 μm 8T-cell for SoC. In: Symposium on VLSI Circuits, 2006. Digest of Technical Papers, Honolulu, pp. 130–131 (2006)

    Google Scholar 

  17. Ohbayashi, S., Yabuuchi, M., Nii, K., Tsukamoto, Y., Imaoka, S., Oda, Y., Yoshihara, T., Igarashi, M., Takeuchi, M., Kawashima, H., Yamaguchi, Y., Tsukamoto, K., Inuishi, M., Makino, H., Ishibashi, K., Shinohara, H.: A 65-nm soc embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits. IEEE J. Solid-State Circuit 42(4), 820–829 (2007)

    Article  Google Scholar 

  18. Ohbayashi, S., Yabuuchi, M., Kono, K., Oda, Y., Imaoka, S., Usui, K., Yonezu, T., Iwamoto, T., Nii, K., Tsukamoto, Y., Arakawa, M., Uchida, T., Okada, M., Ishii, A., Yoshihara, T., Makino, H., Ishibashi, K., Shinohara, H.: A 65 nm embedded SRAM with wafer level burn-in mode, leak-bit redundancy and cu e-trim fuse for known good die. IEEE J. Solid-State Circuit 43(1), 96–108 (2008)

    Article  Google Scholar 

  19. PTM: Predictive technology model. In: Nanoscale Integration and Modeling (NIMO) Group. Arizona State University, Arizona. http://www.eas.asu.edu/ptm/ (2008)

  20. Suzuki, T., Yamauchi, H., Yamagami, Y., Satomi, K., Akamatsu, H.: A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses. IEEE J. Solid-State Circuit 43(9), 2109–2119 (2008)

    Article  Google Scholar 

  21. Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., Kobatake, H.: A read-static-noise-margin-free SRAM cell for low-vdd and high-speed applications. IEEE J. Solid-State Circuit 41(1), 113–121 (2006)

    Article  Google Scholar 

  22. Takeuchi, K., Fukai, T., Tsunomura, T., Putra, A., Nishida, A., Kamohara, S., Hiramoto, T.: Understanding random threshold voltage fluctuation by comparing multiple fabs and technologies. In: IEEE International Electron Devices Meeting, 2007, IEDM 2007, pp. 467–470 (2007). http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=4418975

  23. Verma, N., Chandrakasan, A.P.: A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid-State Circuit 43(1), 141–149 (2008)

    Article  Google Scholar 

  24. Wang, A., Chandrakasan, A.: A 180-mv subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuit 40(1), 310–319 (2005)

    Article  Google Scholar 

  25. Wang, C.C., Wu, C.F., Hwang, R.T., Kao, C.H.: Single-ended SRAM with high test coverage and short test time. IEEE J. Solid-State Circuit 35(1), 114–118 (2000)

    Article  Google Scholar 

  26. Yoshimoto, M., Anami, K., Shinohara, H., Yoshihara, T., Takagi, H., Nagao, S., Kayano, S., Nakano, T.: A divided word-line structure in the static ram and its application to a 64k full CMOS ram. IEEE J. Solid-State Circuit 18(5), 479–485 (1983)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Singh, J., Mohanty, S.P., Pradhan, D.K. (2013). 2-Port SRAM Bitcell Design. In: Robust SRAM Designs and Analysis. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-0818-5_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0818-5_4

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-0817-8

  • Online ISBN: 978-1-4614-0818-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics