Skip to main content

Introduction to SRAM

  • Chapter
  • First Online:
Robust SRAM Designs and Analysis

Abstract

The trend of Static Random Access Memory (SRAM) along with CMOS technology scaling in different processors and system-on-chip (SoC) products has fuelled the need of innovation in the area of SRAM design. SRAM bitcells are made of minimum geometry devices for high density and to keep the pace with CMOS technology scaling, as a result, they are the first to suffer from technology scaling induced side-effects. At the same time, success of next generation technology depends on the successful realization of SRAM. Therefore, different SRAM bitcell topologies and array architectures have been proposed in the recent past to meet the nano-regime challenges. Some of the major challenges in SRAM design includes poor stability, process variation tolerance, device degradation due to ageing and soft errors. In this chapter, introduction and importance of SRAM in memory hierarchy of a modern computer system and its peripheral circuitries have been presented. Different SRAM bitcell topologies and their merits and de-merits are also highlighted.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Aly, R., Bayoumi, M.: Low-power cache design using 7T SRAM cell. IEEE Trans. Circuit Syst. II. Express Briefs 54(4), 318–322 (2007)

    Article  Google Scholar 

  2. Amelifard, B., Fallah, F., Pedram, M.: Leakage minimization of SRAM cells in a dual- and dual- technology. IEEE Trans. Very Large Scale Integr. Syst. 16(7), 851–860 (2008). doi:10.1109/TVLSI.2008.2000459

  3. Azizi, N., Najm, F., Moshovos, A.: Low-leakage asymmetric-cell SRAM. IEEE Trans. Very Large Scale Integr. Syst. 11(4), 701–715 (2003). doi:10.1109/TVLSI.2003.816139

    Article  Google Scholar 

  4. Bhavnagarwala, A.J., Tang, X., Meindl, J.D.: The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circuit 36, 658–665 (2001)

    Article  Google Scholar 

  5. Borkar, S.: Design challenges of technology scaling. IEEE Micro 19(4), 23–29 (1999). doi:10.1109/40.782564

    Article  Google Scholar 

  6. Calhoun, B.H., Chandrakasan, A.P.: A 256-kb 65-nm sub-threshold SRAM design for ultralow-voltage operation. IEEE J. Solid-State Circuit 42(3), 680–688 (2007)

    Article  Google Scholar 

  7. Calhoun, B., Daly, D., Verma, N., Finchelstein, D., Wentzloff, D., Wang, A., Cho, S.H., Chandrakasan, A.: Design considerations for ultra-low energy wireless microsensor nodes. IEEE Trans. Comput. 54(6), 727–740 (2005). doi:10.1109/TC.2005.98

    Article  Google Scholar 

  8. Carlson, I., Andersson, S., Natarajan, S., Alvandpour, A.: A high density, low leakage, 5T SRAM for embedded caches. In: Proceeding of the 30th European Solid-State Circuits Conference, ESSCIRC 2004, Leuven, pp. 215–218 (2004)

    Google Scholar 

  9. Chang, L., Fried, D., Hergenrother, J., Sleight, J., Dennard, R., Montoye, R., Sekaric, L., McNab, S., Topol, A., Adams, C., Guarini, K., Haensch, W.: Stable SRAM cell design for the 32 nm node and beyond. In: Symposium on VLSI Technology, 2005. Digest of Technical Papers, Kyoto, pp. 128–129. 14–16 June 2005

    Google Scholar 

  10. Chang, L., Nakamura, Y., Montoye, R., Sawada, J., Martin, A., Kinoshita, K., Gebara, F., Agarwal, K., Acharyya, D., Haensch, W., Hosokawa, K., Jamsek, D.: A 5.3 ghz 8T-SRAM with operation down to 0.41 v in 65 nm CMOS. In: IEEE Symposium on VLSI Circuits, 2007, Kyoto, pp. 252–253 (2007)

    Google Scholar 

  11. Chang, L., Montoye, R., Nakamura, Y., Batson, K., Eickemeyer, R., Dennard, R., Haensch, W., Jamsek, D.: An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J. Solid-State Circuit 43(4), 956–963 (2008)

    Article  Google Scholar 

  12. Cragon, H.G.: Memory Systems and Pipelined Processors, Chapter 1. Jones and Barlett, Sudbury (1996)

    Google Scholar 

  13. Dennard, R.H.: Field-effect transistor memory. US Patent No. 3387286 (1968)

    Google Scholar 

  14. Hennessy, J.L., Patterson, D.: Computer Architecture: A Quantitative Approach, Chapter 5. Morgan Kaufman, San Francisco (2006)

    Google Scholar 

  15. Hirose, T., Kuriyama, H., Murakami, S., Yuzuriha, K., Mukai, T., Tsutsumi, K., Nishimura, Y., Kohno, Y., Anami, K.: A 20 ns 4 mb CMOS SRAM with hierarchical word decoding architecture. In: IEEE International Solid-State Circuits Conference, Digest of Technical Papers. 37th ISSCC 1990, pp. 132–133 (1990). doi:10.1109/ISSCC.1990.110162

  16. Hobson, R.: A new single-ended SRAM cell with write-assist. IEEE Trans. Very Large Scale Integr. Syst. 15(2), 173–181 (2007)

    Article  Google Scholar 

  17. ITRS: International technology road map for semiconductors, test and test equipments. http://public.itrs.net/ (2006)

  18. Kawaguchi, H., Kanda, K., Nose, K., Hattori, S., Dwi, D., Antono, D., Yamada, D., Miyazaki, T., Inagaki, K., Hiramoto, T., Sakurai, T.: A 0.5 v, 400 mhz, v00-hopping processor with zero-vth fd-soi technology. In: IEEE International Solid-State Circuits Conference, Digest of Technical Papers. ISSCC, 2003, vol. 1, pp. 106–481 (2003). doi:10.1109/ISSCC.2003.1234227

  19. Kim, T.H., Liu, J., Keane, J., Kim, C.: A 0.2 v, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing. IEEE J. Solid-State Circuit 43(2), 518–529 (2008)

    Google Scholar 

  20. Kulkarni, J., Kim, K., Roy, K.: A 160 mv robust schmitt trigger based subthreshold SRAM. IEEE J. Solid-State Circuit 42(10), 2303–2313 (2007)

    Article  Google Scholar 

  21. Lee, S., Sakurai, T.: Run-time voltage hopping for low-power real-time systems. In: Proceedings of the 37th Design Automation Conference 2000, Los Angeles, pp. 806–809 (2000)

    Google Scholar 

  22. Liu, Z., Kursun, V.: Characterization of a novel nine-transistor SRAM cell. IEEE Trans. Very Large Scale Integr. Syst. 16(4), 488–492 (2008)

    Article  Google Scholar 

  23. Mahmoodi, H., Mukhopadhyay, S., Roy, K.: Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits. IEEE J. Solid-State Circuit 40(9), 1787–1796 (2005)

    Article  Google Scholar 

  24. Moore, G.: Cramming more components onto integrated circuits. Electronics 38(8), 534–539 (1965)

    Google Scholar 

  25. Ohbayashi, S., Yabuuchi, M., Nii, K., Tsukamoto, Y., Imaoka, S., Oda, Y., Yoshihara, T., Igarashi, M., Takeuchi, M., Kawashima, H., Yamaguchi, Y., Tsukamoto, K., Inuishi, M., Makino, H., Ishibashi, K., Shinohara, H.: A 65-nm soc embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits. IEEE J. Solid-State Circuit 42(4), 820–829 (2007)

    Article  Google Scholar 

  26. Patterson, D.A., Hennessy, J.L.: Computer Architecture: A Quantitative Approach. Morgan Kaufmann Publishers Inc., San Mateo (1990)

    Google Scholar 

  27. PTM: Predictive technology model. In: Nanoscale Integration and Modeling (NIMO) Group. Arizona State University, Arizona. http://www.eas.asu.edu/ptm/ (2008)

  28. Suzuki, T., Yamagami, Y., Hatanaka, I., Shibayama, A., Akamatsu, H., Yamauchi, H.: A sub-0.5-v operating embedded SRAM featuring a multi-bit-error-immune hidden-ecc scheme. IEEE J. Solid-State Circuit 41(1), 152–160 (2006). doi:10.1109/JSSC.2005.859029

  29. Suzuki, T., Yamauchi, H., Yamagami, Y., Satomi, K., Akamatsu, H.: A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses. IEEE J. Solid-State Circuit 43(9), 2109–2119 (2008)

    Article  Google Scholar 

  30. Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., Kobatake, H.: A read-static-noise-margin-free SRAM cell for low-vdd and high-speed applications. IEEE J. Solid-State Circuit 41(1), 113–121 (2006)

    Article  Google Scholar 

  31. Takeuchi, K., Fukai, T., Tsunomura, T., Putra, A., Nishida, A., Kamohara, S., Hiramoto, T.: Understanding random threshold voltage fluctuation by comparing multiple fabs and technologies. In: IEEE International Electron Devices Meeting, 2007, IEDM 2007, pp. 467–470 (2007). http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=4418975

  32. Verma, N., Chandrakasan, A.P.: A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid-State Circuit 43(1), 141–149 (2008)

    Article  Google Scholar 

  33. Wang, A., Chandrakasan, A.: A 180 mv FFT processor using sub-threshold circuit techniques. In: Proceedings of the IEEE ISSCC Dig. Tech. Papers, pp. 229–293 (2004). http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=1332709

  34. Wang, A., Chandrakasan, A.: A 180-mv subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuit 40(1), 310–319 (2005)

    Article  Google Scholar 

  35. Wang, C.C., Wu, C.F., Hwang, R.T., Kao, C.H.: Single-ended SRAM with high test coverage and short test time. IEEE J. Solid-State Circuit 35(1), 114–118 (2000)

    Article  Google Scholar 

  36. Yoshimoto, M., Anami, K., Shinohara, H., Yoshihara, T., Takagi, H., Nagao, S., Kayano, S., Nakano, T.: A divided word-line structure in the static ram and its application to a 64k full CMOS ram. IEEE J. Solid-State Circuit 18(5), 479–485 (1983)

    Article  Google Scholar 

  37. Zhai, B., Hanson, S., Blaauw, D., Sylvester, D.: A variation-tolerant sub-200 mv 6-T subthreshold SRAM. IEEE J. Solid-State Circuit 43(10), 2338–2348 (2008)

    Article  Google Scholar 

  38. Zhao, W., Cao, Y.: New generation of predictive technology model for sub-45 nm design exploration. In: ISQED ’06: Proceedings of the 7th International Symposium on Quality Electronic Design, pp. 585–590. IEEE Computer Society, Washington (2006). doi:http://dx.doi.org/10.1109/ISQED.2006.91

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Singh, J., Mohanty, S.P., Pradhan, D.K. (2013). Introduction to SRAM. In: Robust SRAM Designs and Analysis. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-0818-5_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0818-5_1

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-0817-8

  • Online ISBN: 978-1-4614-0818-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics