Skip to main content

Statistical Power Grid Analysis Considering Log-Normal Leakage Current Variations

  • Chapter
  • First Online:
Statistical Performance Analysis and Modeling Techniques for Nanometer VLSI Designs

Abstract

As discussed in Part II, process-induced variability has huge impacts on chip leakage currents, owing to the exponential relationship between subthreshold leakage current I sub and threshold voltage V th as shown below[172],

$$\begin{array}{rcl}{ I}_{\mathrm{sub}} = {I}_{s0}{e}^{\frac{{V}_{gs}-{V}_{\mathrm{th}}} {n{V}_{T}} }\left (1 -{\mathrm{e}}^{\frac{-{V}_{ds}} {{V}_{T}} }\right ),& &\end{array}$$
(8.1)

where I s0 is a constant related to the device characteristics, V T is the thermal voltage, and n is a constant. It was shown in[78] that leakage variations for 90nm can be 20 ×. Based on the ITRS[71], the leakage power accounts for more than 60% at 45nm; there are many consequences for chip design, especially for design of the power grid.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. H. Chang and S. Sapatnekar, “Statistical timing analysis under spatial correlations,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 9, pp. 1467–1482, Sept. 2005.

    Google Scholar 

  2. E. Chiprout, “Fast flip-chip power grid analysis via locality and grid shells,” in Proc. Int. Conf. on Computer Aided Design (ICCAD), Nov 2004, pp. 485–488.

    Google Scholar 

  3. L. Daniel, O. C. Siong, L. S. Chay, K. H. Lee, and J. White, “Multi-parameter moment-matching model-reduction approach for generating geometrically parameterized interconnect performance models,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, no. 5, pp. 678–693, May 2004.

    Article  Google Scholar 

  4. I. A. Ferzli and F. N. Najm, “Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations,” in Proc. IEEE/ACM Design Automation Conference (DAC), 2003, pp. 865–859.

    Google Scholar 

  5. I. A. Ferzli and F. N. Najm, “Statistical verification of power grids considering process-induced leakage current variations,” in Proc. Int. Conf. on Computer Aided Design (ICCAD), 2003, pp. 770–777.

    Google Scholar 

  6. P. Ghanta, S. Vrudhula, and S. Bhardwaj, “Stochasic variational analysis of large power grids considering intra-die correlations,” in Proc. IEEE/ACM Design Automation Conference (DAC), July 2006, pp. 211–216.

    Google Scholar 

  7. P. Ghanta, S. Vrudhula, R. Panda, and J. Wang, “Stochastic power grid analysis considering process variations,” in Proc. Design, Automation and Test In Europe. (DATE), vol. 2, 2005, pp. 964–969.

    Google Scholar 

  8. “International technology roadmap for semiconductors (ITRS), 2010 update,” 2010, http://public.itrs.net.

  9. T. Karnik, S. Borkar, and V. De, “Sub-90 nm technologies-challenges and opportunities for CAD,” in Proc. Int. Conf. on Computer Aided Design (ICCAD), San Jose, CA, Nov 2002, pp. 203–206.

    Google Scholar 

  10. J. N. Kozhaya, S. R. Nassif, , and F. N. Najm, “A multigrid-like technique for power grid analysis,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no. 10, pp. 1148–1160, Oct 2002.

    Article  Google Scholar 

  11. Y. Liu, L. T. Pileggi, and A. J. Strojwas, “Model order-reduction of rc(l) interconnect including variational analysis,” in DAC ’99: Proceedings of the 36th ACM/IEEE conference on Design automation, 1999, pp. 201–206.

    Google Scholar 

  12. N. Mi, J. Fan, and S. X.-D. Tan, “Simulation of power grid networks considering wires and lognormal leakage current variations,” in Proc. IEEE International Workshop on Behavioral Modeling and Simulation (BMAS), Sept. 2006, pp. 73–78.

    Google Scholar 

  13. N. Mi, J. Fan, and S. X.-D. Tan, “Statistical analysis of power grid networks considering lognormal leakage current variations with spatial correlation,” in Proc. IEEE Int. Conf. on Computer Design (ICCD), 2006, pp. 56–62.

    Google Scholar 

  14. N. Mi, J. Fan, S. X.-D. Tan, Y. Cai, and X. Hong, “Statistical analysis of on-chip power delivery networks considering lognormal leakage current variations with spatial correlations,” IEEE Trans. on Circuits and Systems I: Fundamental Theory and Applications, vol. 55, no. 7, pp. 2064–2075, Aug 2008.

    Article  MathSciNet  Google Scholar 

  15. S. Nassif, “Delay variability: sources, impact and trends,” in Proc. IEEE Int. Solid-State Circuits Conf., San Francisco, CA, Feb 2000, pp. 368–369.

    Google Scholar 

  16. S. Nassif, “Design for variability in DSM technologies,” in Proc. Int. Symposium. on Quality Electronic Design (ISQED), San Jose, CA, Mar 2000, pp. 451–454.

    Google Scholar 

  17. S. R. Nassif, “Power grid analysis benchmarks,” in Proc. Asia South Pacific Design Automation Conf. (ASPDAC), 2008, pp. 376–381.

    Google Scholar 

  18. S. Pant, D. Blaauw, V. Zolotov, S. Sundareswaran, and R. Panda, “A stochastic approach to power grid analysis,” in Proc. IEEE/ACM Design Automation Conference (DAC), 2004, pp. 171–176.

    Google Scholar 

  19. J. R. Phillips and L. M. Silveira, “Poor man’s TBR: a simple model reduction scheme,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 1, pp. 43–55, 2005.

    Article  Google Scholar 

  20. R. Rao, A. Srivastava, D. Blaauw, and D. Sylvester, “Statistical analysis of subthreshold leakage current for VLSI circuits,” IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 12, no. 2, pp. 131–139, Feb 2004.

    Google Scholar 

  21. A. Srivastava, R. Bai, D. Blaauw, and D. Sylvester, “Modeling and analysis of leakage power considering within-die process variations,” in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), Aug 2002, pp. 64–67.

    Google Scholar 

  22. B. G. Streetman and S. Banerjee, Solid-State Electronic Devices. Prentice Hall, 2000, 5th ed.

    Google Scholar 

  23. J. Wang, P. Ghanta, and S. Vrudhula, “Stochastic analysis of interconnect performance in the presence of process variations,” in Proc. Int. Conf. on Computer Aided Design (ICCAD), Nov 2004, pp. 880–886.

    Google Scholar 

  24. J. M. Wang and T. V. Nguyen, “Extended Krylov subspace method for reduced order analysis of linear circuit with multiple sources,” in Proc. IEEE/ACM Design Automation Conference (DAC), 2000, pp. 247–252.

    Google Scholar 

  25. M. Zhao, R. V. Panda, S. S. Sapatnekar, and D. Blaauw, “Hierarchical analysis of power distribution networks,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no. 2, pp. 159–168, Feb 2002.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Shen, R., Tan, S.XD., Yu, H. (2012). Statistical Power Grid Analysis Considering Log-Normal Leakage Current Variations. In: Statistical Performance Analysis and Modeling Techniques for Nanometer VLSI Designs. Springer, Boston, MA. https://doi.org/10.1007/978-1-4614-0788-1_8

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0788-1_8

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4614-0787-4

  • Online ISBN: 978-1-4614-0788-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics