Skip to main content

Chip/Package Co-analysis of Mechanical Stress for 3D IC

  • Chapter
  • First Online:
  • 3384 Accesses

Abstract

In this chapter, we study an efficient chip/package thermo-mechanical stress and reliability co-analysis tool for TSV-based 3D ICs. We also study a design optimization methodology to alleviate mechanical reliability issues in 3D IC. First, we analyze the stress induced by chip/package interconnect elements, i.e., TSV, μ-bump, and package bump. Second, we explore and validate the principle of lateral and vertical linear superposition of stress tensors (LVLS), considering all chip/package elements. This linear superposition principle is utilized to perform full-chip/package-scale stress simulations and reliability analysis. Finally, we study the mechanical reliability issues in practical 3D chip/package designs including wide-I/O and block-level 3D ICs.

The materials presented in this chapter are based on [6].

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    Note that we see higher von Mises stress level in (Fig. 15.13a than the previous work [5] even with the same simulation structure. This is because we use the Young’s modulus of 188 GPa for Si instead of 130 GPa in [5] as a worst case scenario. More details are discussed in Sect. 15.3.6.

References

  1. K. Athikulwongse, A. Chakraborty, J.-S. Yang, D.Z. Pan, S.K. Lim, Stress-driven 3D-IC placement with TSV keep-out zone and regularity study, in Proceedings of IEEE International Conference on Computer-Aided Design, San Jose, 2010

    Google Scholar 

  2. G.V. der Plas et al., Design issues and considerations for low-cost 3D TSV IC technology, in IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, 2010

    Google Scholar 

  3. M.A. Hopcroft, W.D. Nix, T.W. Kenny, What is the Young’s modulus of silicon. J. Microelectromech. Syst. 19, 229–238 (2010)

    Article  Google Scholar 

  4. M. Jung, X. Liu, S.K. Sitaraman, D.Z. Pan, S.K. Lim, Full-chip through-silicon-via interfacial crack analysis and optimization for 3D IC, in Proceedings of IEEE International Conference on Computer-Aided Design, San Jose, 2011

    Google Scholar 

  5. M. Jung, J. Mitra, D.Z. Pan, S.K. Lim, TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC, in Proceedings of ACM Design Automation Conference, San Diego, 2011

    Google Scholar 

  6. M. Jung, D. Pan, S.K. Lim, Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs, in Proceedings of ACM Design Automation Conference, San Francisco, 2012

    Google Scholar 

  7. J.-S. Kim et al., A 1.2 V 12.8 GB/s 2 Gb mobile wide-I/O DRAM with 4x128 I/O using TSV-based stacking, in IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, 2011

    Google Scholar 

  8. K.H. Lu, S.-K. Ryu, J. Im, R. Huang, P.S. Ho, Thermomechanical reliability of through-silicon vias in 3D interconnects, in IEEE International Reliability Physics Symposium, Monterey, 2011

    Google Scholar 

  9. M. Nakamoto et al., Simulation methodology and flow integration for 3D IC stress management, in Proceedings of IEEE Custom Integrated Circuits Conference, San Jose, 2010

    Google Scholar 

  10. S.R. Vempati et al., Development of 3-D silicon die stacked package using flip chip technology with micro bump interconnects, in IEEE Electronic Components and Technology Conference, San Diego, 2009

    Google Scholar 

  11. J. Zhang et al., Modeling thermal stresses in 3-D IC interwafer interconnects. IEEE Trans. Semicond. Manuf. 19, 437 (2006)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lim, S.K. (2013). Chip/Package Co-analysis of Mechanical Stress for 3D IC. In: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9542-1_15

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9542-1_15

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9541-4

  • Online ISBN: 978-1-4419-9542-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics