Skip to main content

Impact of Mechanical Stress on Timing Variation for 3D IC

  • Chapter
  • First Online:
Design for High Performance, Low Power, and Reliable 3D Integrated Circuits
  • 3349 Accesses

Abstract

In this chapter, we study the impact of through-silicon-via (TSV) and shallow trench isolation (STI) stress on the timing variations of 3D IC. We also study systematic TSV-STI-stress-aware timing analysis and show how to optimize layouts for better performance. First, we generate a stress contour map with an analytical radial stress model for TSV. We also develop a stress model for STI from finite element analysis (FEA) results. Then, depending on geometric relation between TSVs, STIs, and transistors, the tensile and compressive stresses are converted to hole and electron mobility variations. Mobility-variation-aware cell library and netlist are generated and incorporated into an industrial engine for timing analysis of 3D IC. We observe that TSV stress and STI stress interact with each other, and rise and fall time react differently to stress and relative locations with respect to both TSVs and STIs. Overall, TSV-STI-stress-induced timing variations can be as much as ± 15 % at the cell level. Thus, as an application to layout optimization, we exploit the stress-induced mobility enhancement to improve performance of 3D ICs. We show that stress-aware layout perturbation could reduce cell delay by up to 23.37 % and critical path delay by 6.67 % in our test case.

The materials presented in this chapter are based on [21].

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The devices in 3D ICs may experience additional timing changes from process variations. The combined effect of stress and process variations on circuit timing is out of the scope of this chapter.

  2. 2.

    Consideration of other stress enhancement methods such as SiGe is out of the scope of this chapter.

References

  1. D.B. Bogy, Two edge-bonded elastic wedges of different materials and wedge angles under surface tractions. J. Appl. Mech. 38, 377–386 (1971)

    Article  Google Scholar 

  2. T. Dao, D.H. Triyoso, M. Petras, M. Canonico, Through silicon via stress characterization, in IEEE International Conference on IC Design and Technology (IEEE, Piscataway, 2009)

    Google Scholar 

  3. M.A. Hopcroft, W.D. Nix, T.W. Kenny, What is the Young’s modulus of silicon? J. Microelectromech. Syst. 19(2), 229–238 (2010)

    Article  Google Scholar 

  4. H. Irie, K. Kita, K. Kyuno, A. Toriumi, In-plane mobility anisotropy and universality under uni-axial strains in n- and p-MOS inversion layers on (100), (110), and (111) Si, in IEEE International Electron Devices Meeting (IEEE, Piscataway, 2004)

    Google Scholar 

  5. M. Jung, J. Mitra, D. Pan, S.K. Lim, TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC, in Proceedings of Design Automation Conference (IEEE, Piscataway, 2011)

    Google Scholar 

  6. A.B. Kahng, P. Sharma, R.O. Topaloglu, Exploiting STI stress for perform, in Proceedings of International Conference on Computer Aided Design, San Jose, 2007

    Google Scholar 

  7. A.B. Kahng, P. Sharma, A. Zelikovsky, Fill for shallow trench isolation CMP, in Proceedings of International Conference on Computer Aided Design, San Jose (Association for Computing Machinery, New York, 2006)

    Google Scholar 

  8. D.H. Kim, K. Athikulwongse, S.K. Lim, A study of through-silicon-via impact on the 3-D stacked IC layout, in Proceedings of International Conference on Computer Aided Design, San Jose, 2009

    Google Scholar 

  9. K.H. Lu, X. Zhang, S.-K. Ryu, J. Im, R. Huang, P.S. Ho, Thermo-mechanical reliability of 3-D ICs containing through silicon vias, in Electronic Components and Technology Conference (IEEE, Piscataway, 2009)

    Google Scholar 

  10. M.S. Lundstrom, On the mobility versus drain current relation for a nanoscale MOSFET. IEEE Electron Device Lett. 22, 293–295 (2001)

    Article  Google Scholar 

  11. M. Miyamoto and other, Impact of reducing STI-induced stress on layout dependence of MOSFET characteristics. IEEE Trans. Electron Devices 51, 440–443, (2004)

    Google Scholar 

  12. V. Moroz, L. Smith, X.-W. Lin, D. Pramanik, G. Rollins, Stress-aware design methodology, in Proceedings of International Symposium on Quality Electronic Design (IEEE Computer Society, Los Alamitos, 2006)

    Google Scholar 

  13. C.S. Selvanayagam, J.H. Lau, X. Zhang, S. Seah, K. Vaidyanathan, T.C. Chai, Nonlinear thermal stress/strain analysis of copper filled TSV and their flip-chip microbumps, in Electronic Components and Technology Conference (IEEE, Piscataway, 2008)

    Google Scholar 

  14. N. Serin, T. Serin, S. Horzum, Y. Celik, Annealing effects on the properties of copper oxide thin films prepared by chemical deposition. Electron. J. 20, 398–401 (2005)

    Google Scholar 

  15. C.S. Smith, Piezoresistance effect in germanium and silicon. Phys. Rev. 94, 42–49 (1954)

    Article  Google Scholar 

  16. S. Suthram, J.C. Ziegert, T. Nishida, S.E. Thompson, Piezoresistance coefficients of (100) silicon nMOSFETs measured at low and high channel stress. IEEE Electron Device Lett. 28, 58–60 (2007)

    Article  Google Scholar 

  17. S.E. Thompson, M. Armstrong, C.A. et al., A 90 nm logic technology featuring strained-silicon. IEEE Trans. Electron Devices 51, 1790–1797 (2004)

    Google Scholar 

  18. S.E. Thompson, G. Sun, Y.S. Choi, T. Nishida, Uniaxial-process-induced strained-si: extending the CMOS roadmap. IEEE Trans. Electron Devices 53, 1010–1020 (2006)

    Article  Google Scholar 

  19. R. Tian, X. Tang, M.D.F. Wong, Dummy-feature placement for chemical-mechanical polishing uniformity in a shallow-trench isolation process. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21, 63–71 (2002)

    Article  Google Scholar 

  20. K. Uchida, T. Krishnamohan, K. Saraswat, Y. Nishi, Physical mechanisms of electron mobility enhancement in uniaxial stressed MOSFETs and impact of uniaxial stress engineering in ballistic regime, in IEEE International Electron Devices Meeting (IEEE, Piscataway, 2005)

    Google Scholar 

  21. J.-S. Yang, K. Athikulwongse, Y.-J. Lee, S.K. Lim, D.Z. Pan, TSV stress aware timing analysis with applications to 3D-IC layout optimization, in Proceedings Design Automation Conference (IEEE, Piscataway, 2010)

    Google Scholar 

  22. W. Zhao, Y. Cao, New generation of predictive technology model for sub-45 nm early design exploration. IEEE Trans. Electron Devices 53, 2816–2823. (2006)

    Article  MathSciNet  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lim, S.K. (2013). Impact of Mechanical Stress on Timing Variation for 3D IC. In: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9542-1_14

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9542-1_14

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9541-4

  • Online ISBN: 978-1-4419-9542-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics