Skip to main content

Constructive Timing Violation for Improving Energy Efficiency

  • Chapter
Compilers and Operating Systems for Low Power

Abstract

A novel technique for improving the energy efficiency of microprocessors is disclosed. This new method relies on a fault-tolerance mechanism for timing violations, based on a speculative execution technique. Since power reduces quadratically with supply voltage, supply voltage reductions can result in substantial power savings. However, these reductions also cause a longer gate delay, and so the clock frequency must be reduced so that timing constraints of critical paths are not violated. If any fault-tolerance mechanism is provided for timing faults, it is not necessary to maintain the constraints. From these observations, we propose a fault-tolerance technique for timing violations, that efficiently utilizes the speculative execution mechanism and reduces power consumption. We call the technique constructive timing violation. The present study evaluated our proposal regarding this technique using a cycle-by-cycle simulator and determined the technique's efficiency regarding energy consumption.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. T.M. Austin, “DIVA: a reliable substrate for deep submicron microarchitecture design,” 32nd International Symposium on Microarchitecture, 1999.

    Google Scholar 

  2. D. Burger and T.M. Austin, “The SimpleScalar tool set, version 2.0,” ACM SIGARCH Computer Architecture News, vol.25, no.3, 1997.

    Google Scholar 

  3. A.P. Chandrakasan and R.W. Brodersen, “Minimizing power consumption in digital CMOS circuits,” Proceedings of IEEE, vo1.83, no.4, 1995.

    Google Scholar 

  4. S. Chatterjee, C. Weaver and T. Austin, “Efficient checker processor design,” 33rd International Symposium on Microarchitecture, 2000.

    Google Scholar 

  5. K. Chen and C. Hu, “Performance and V dd scaling in deep submicrometer CMOS,” IEEE Journal of Solid-State Circuits, vol.33, no.10, 1998.

    Google Scholar 

  6. G. Hinton, D. Sager, M. Upton, D. Boggs, D. Carmean, A. Kyker and P. Roussel, “The microarchitecture of the Pentium 4 processor,” Intel Technical Journal, issue Q1, 2001.

    Google Scholar 

  7. T. Hiramoto and M. Takamiya, “Low power and low voltage MOSFETs with variable threshold voltage controlled by back-bias,” IEICE Transactions on Electronics, vol. E83-C, no. 2, 2000.

    Google Scholar 

  8. R.E. Kessler, E.J. McLellan and D.A. Webb, “The Alpha 21264 microprocessor architecture,” International Conference on Computer Design, 1998.

    Google Scholar 

  9. Y. Kondo, N. Ikumi, K. Ueno, J. Mori and M. Hirano, “An earlycompletion-detecting ALU for a 1GHz 64b datapath,” International Solid State Circuit Conference, 1997.

    Google Scholar 

  10. T. Kuroda, T. Fujita, S. Mita, T. Nagamatsu, S. Yoshioka, F. Sano, M. Norishima, M. Murota, M. Kato, M. Kinugasa, M. Kakumu and T. Sakurai, “A 0.9V, 150MHz, I0mW, 4mm2, 2-D discrete cosine transform core processor with variable-threshold-voltage scheme,” International Solid State Circuit Conference, 1996.

    Google Scholar 

  11. M. Levy, “Java to go: part 1,” Microprocessor Report, vol. 15, archive 2, 2001.

    Google Scholar 

  12. M. Levy, “NEC processor goes out of order”, Microprocessor Report, vol. 15, archive 9, 2001.

    Google Scholar 

  13. M.H. Lipasti, C.B. Wilkerson and J.P. Shen, “Value locality and load value prediction,” International Conference on Architectural Support for Programming Languages and Operating Systems VII, 1996.

    Google Scholar 

  14. T. Liu and S.-L. Lu, “Performance improvement with circuit-level speculation,” 33rd International Symposium on Microarchitecture, 2000.

    Google Scholar 

  15. S. Palacharla, N.P. Jouppi and J.E. Smith, “Complexity-effective superscalar processors,” 24th International Symposium on Computer Architecture, 1997.

    Google Scholar 

  16. S. Sakiyama, J. Kajiwara, M. Kinoshita, K. Satomi, K. Ohtani and A. Matsuzawa, “An on-chip high-efficiency and low-noise DC/DC converter using divided switches with current control technique,” International Solid-State Circuits Conference, 1999.

    Google Scholar 

  17. T. Sato and I. Arita, “Give up meeting timing constraints, but tolerate violations,” 4th International Symposium on Low-Power and High-Speed Chips, 2001.

    Google Scholar 

  18. T. Sato, “Evaluating the impact of reissued instructions on data speculative processor performance,” Microprocessors and Microsystems, vol.25, issue 9–10, 2002.

    Google Scholar 

  19. J. Scott, L.H. Lee, A. Chin, J. Arends and B. Moyer, “Designing the M.CoreTM M3 CPU architecture,” International Conference on Computer Design, 1999.

    Google Scholar 

  20. G.S. Sohi, “Instruction issue logic for high-performance, interruptible, multiple functional unit, pipelined computers,” IEEE Transactions on Computers, vol. 39, no. 3, 1990.

    Google Scholar 

  21. K. Usami, M. Igarashi, F. Minami, T. Ishikawa, M. Kanazawa, M. Ichida and K. Nogami, “Automated low-power technique exploiting multiple supply voltages applied to a media processor,” IEEE Journal of Solid-State Circuits, vol. 33, no. 3, 1998.

    Google Scholar 

  22. L. Wet, Z. Chen, M. Johnson and K. Roy, “Design and optimization of low voltage and high performance dual threshold CMOS circuits,” International Design Automation Conference, 1998.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer Science+Business Media New York

About this chapter

Cite this chapter

Sato, T., Arita, I. (2003). Constructive Timing Violation for Improving Energy Efficiency. In: Benini, L., Kandemir, M., Ramanujam, J. (eds) Compilers and Operating Systems for Low Power. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-9292-5_8

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9292-5_8

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-4879-5

  • Online ISBN: 978-1-4419-9292-5

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics