Skip to main content

Advanced hard mask approach of ICs copper interconnects processes integration

  • Conference paper
  • First Online:
MEMS and Nanotechnology, Volume 2

Abstract

When scaling the critical dimensions into nanotechnology, the impact of layout and line edge becomes important. Implementation of Cu and low dielectric constant (low-k) materials in the manufacturing process requires a complete understanding of these process characteristics and the challenges that appear during the hard mask based dual damascene approach. To create highly reliable electrical interconnects, the interfaces between the Cu metal and low-k must be optimized during the lithography, etching, ashing and copper processes. For higher aspect ratios interconnect profiles however this approach leads to increased sidewall roughness and undercut. To suppress problems in the photolithography and etching processes, the balance of the processes integration should be quantitatively and instantaneously controlled to the optimum manufacturing technologies. For copper filling engineering, this study also clearly demonstrated that the influence of liner barrier Ta and Cu seed performance of dual damascene manufacturing processes integration. Processes parameters that needed to be tuned are gas flow ratio, pressure and bias of the etch process. These process characteristics and manufacturing mechanism optimization will also be discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Tsang CF, Bliznetsov VN, Su YJ, Study and improvement of electrical performance of 130 nm Cu/CVD low k SiOCH interconnect related to via etch process. Microelectron J. 2003; 34 :1051–8.

    Article  Google Scholar 

  2. Li HY, Su Y.J., Tsang CF, et al. Process improvement of 0.13 m Cu/Low K dual damascene interconnection, Microelectronics Reliability. 2005; 45: 1134–43.

    Google Scholar 

  3. Haruhiko ABE, Masahiro Y, Nobuo F, Developments of Plasma Etching Technology for Fabricating Semiconductor Devices. Japanese Journal of Applied Physics. 2008; 47: 1435–55.

    Article  Google Scholar 

  4. Lee HJ, Park JT, Yoo JY, et al. Resist Pattern Collapse with Top Rounding Resist Profile, Jpn. J. Appl. Phys. 2003; 42:3922.

    Article  Google Scholar 

  5. Su YN, Shieh JH, Hsu P. P., Low k damage control & its reliability for organic hybrid dual damascene, Proc. of the Int. Symp. Physical and Failure Analysis of Integrated Circuits. 2004; 69-70.

    Google Scholar 

  6. Zhao LL, Shen MH, Han QH, et al. A study of 65nm BEOL trench etch issues, Int. Conf. Solid State Integr. Circuits Technol. Proc. ICSICT, 2008; 1173-76.

    Google Scholar 

  7. Biolsi P, Ellinger S, Morvay D, Defect reduction methodology for advanced copper dual damascene oxide etch, IEEE Int. Symp. Conf. 2000; 312-20.

    Google Scholar 

  8. Borthakur S, Satyanarayana S, Knorr A, et al. Reduction of the initial defect density and improvement of the reliability of Cu/low- k structures by a methylating treatment. J Vac Sci Technol B Microelectron Nanometer Struct 2005; 23:2222-5.

    Article  Google Scholar 

  9. Oates AS and Lee SC, Electromigration failure distributions of dual damascene Cu / low – k interconnects. Microelectronics Reliability 2006; 46: 1581-6.

    Article  Google Scholar 

  10. Mosig K, Cox H, Klawuhn E. et al. Integration of porous ultra low-k dielectric with CVD barriers, IEDM Tech. Dig. 2001; 88–91.

    Google Scholar 

  11. Letzkus F, Butschke J, Irmscher M. et al. Dry etch processes for the fabrication of EUV masks, Microelectronic Engineering 2004 73–74: 282–88.

    Article  Google Scholar 

  12. Tetsuya T, Control of reactive plasmas for low-k/Cu integration, Applied Surface Science 2007; 253:6716–37.

    Article  Google Scholar 

  13. Weng CJ, Lin YS, Chen CY, Method of forming damascene structure, USA Patent, No. US 7,189,640 B2 2007.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chun-Jen Weng .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science + Business Media, LLC

About this paper

Cite this paper

Weng, CJ. (2011). Advanced hard mask approach of ICs copper interconnects processes integration. In: Proulx, T. (eds) MEMS and Nanotechnology, Volume 2. Conference Proceedings of the Society for Experimental Mechanics Series. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-8825-6_35

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-8825-6_35

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-8824-9

  • Online ISBN: 978-1-4419-8825-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics