Skip to main content

Imperfection-Immune Carbon Nanotube VLSI Circuits

  • Chapter
  • First Online:
Nanoelectronic Circuit Design

Abstract

Carbon Nanotube Field Effect Transistors (CNFETs), consisting of semiconducting single walled Carbon Nanotubes (CNTs), show great promise as extensions to silicon CMOS. While there has been significant progress at a single-device level, a major gap exists between such results and their transformation into VLSI CNFET technologies. Major CNFET technology challenges include mis-positioned CNTs, metallic CNTs, and wafer-scale integration. This work presents design and processing techniques to overcome these challenges. Experimental results demonstrate the effectiveness of the presented techniques.

Mis-positioned CNTs can result in incorrect logic functionality of CNFET circuits. A new layout design technique produces CNFET circuits implementing arbitrary logic functions that are immune to a large number of mis-positioned CNTs. This technique is significantly more efficient compared to traditional defect- and fault-tolerance. Furthermore, it is VLSI-compatible and does not require changes to existing VLSI design and manufacturing flows.

A CNT can be semiconducting or metallic depending upon the arrangement of carbon atoms. Typical CNT synthesis techniques yield ~33% metallic CNTs. Metallic CNTs create source-drain shorts in CNFETs resulting in excessive leakage (Ion/Ioff< 5) and highly degraded noise margins. A new technique, VLSI-compatible Metallic-CNT Removal (VMR), overcomes metallic CNT challenges by combining layout design with CNFET processing. VMR produces CNFET circuits with Ion/Ioff in the range of 103-105, and overcomes the limitations of existing metallic-CNT removal techniques.

We also present the first experimental demonstration of VLSI-compatible CNFET combinational circuits (e.g., computational elements such as half-adder sum-generators) and storage circuits (e.g., sequential elements such as D-latches) that are immune to inherent CNT imperfections. These experimentally-demonstrated circuits form essential building blocks for large-scale digital computing systems.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    CNT density of >100 CNTs/µm is needed for CNFETs to be competitive with silicon CMOS [Deng 07, Patil 09a].

References

  1. D. Atienza, S.K. Bobba, M. Poli, G. De Micheli, and L. Benini, “System-level design for nano-electronics,” in Proc. IEEE International Conference in Electronics, Circuits and Systems, pp. 747–751, 2007.

    Google Scholar 

  2. I. Beer, et al., “RuleBase: An industry-oriented formal verification tool,” in Proc. Design Automation Conf., pp. 655–660, 1996.

    Google Scholar 

  3. S. Bobba, et al., “Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis,” in Proc. Design Automation and Test in Europe, pp. 616–621, 2009.

    Google Scholar 

  4. P.G. Collins, M.S. Arnold, and P. Avouris, “Engineering carbon nanotubes and nanotube circuits using electrical breakdown,” Science, vol. 292, pp. 706–709, 2001.

    Article  Google Scholar 

  5. T.H. Cormen, C.E. Leiserson, R.L. Rivest, and C. Stein, Introduction to Algorithms, Cambridge, MA: MIT, 1990.

    Google Scholar 

  6. H. Dai, “Carbon nanotubes, from synthesis to integration and properties” Accounts of Chemical Research, vol. 35, 1035–1044, 2002.

    Article  Google Scholar 

  7. A. DeHon, and H. Naeimi, “Seven strategies for tolerating highly defective fabrication,” IEEE Design and Test of Computers, vol. 22, no. 4, pp. 306–315, 2005.

    Article  Google Scholar 

  8. J. Deng, N. Patil, K. Ryu, A. Badmaev, C. Zhou, S. Mitra, and H.-S.P. Wong, “Carbon nanotube transistor circuits: Circuit-level performance benchmarking and design options for living with imperfections,” in Proc. Intl. Solid State Circuits Conf., pp. 70–588, 2007.

    Google Scholar 

  9. J. Deng, and H.-S.P. Wong, “A compact SPICE model for carbon nanotube field effect transistors including non-idealities and its application. Part I: Model of the intrinsic channel region,” IEEE Transactions on Electron Devices, vol. 54, no. 12, pp. 3186–3194, 2007.

    Article  Google Scholar 

  10. J. Deng, and H.-S.P. Wong, “A compact SPICE model for carbon nanotube field effect transistors including non-idealities and its application. Part II: Full device model and circuit performance benchmarking,” IEEE Transactions on Electron Devices, vol. 54, no. 12, pp. 3195–3205, 2007.

    Article  Google Scholar 

  11. L. Ding, et al.,”Selective growth of well-aligned semiconducting single-walled carbon nanotubes,” Nano Letters, vol. 9, no. 2, pp. 800–805, 2009.

    Article  Google Scholar 

  12. M. Engel, et al., “Thin film nanotube transistors based on self-assembled, aligned, semiconducting carbon nanotube arrays,” ACS Nano, vol. 2, no. 12, pp. 2445–2452, 2008.

    Article  Google Scholar 

  13. S.C. Goldstein, and M. Budiu, “NanoFabrics: Spatial computing using molecular electronics,” in Proc. Intl. Symp. Computer Architecture, pp. 178–191, 2001.

    Google Scholar 

  14. S.J. Kang, et al., “High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes,” Nature Nanotechnology, vol. 2, pp. 230–236, 2007.

    Article  Google Scholar 

  15. M. LeMieux, et al., “Self-sorted, aligned nanotube networks for thin-film transistors,” Science, vol. 321, pp. 101–104, 2008.

    Article  Google Scholar 

  16. Y. Li, et al., “Preferential growth of semiconducting single-walled carbon nanotubes by a plasma nnhanced CVD method,” Nano Letters, vol. 4, pp. 317–321, 2004.

    Article  Google Scholar 

  17. A. Lin, et al., “Threshold voltage and on-off ratio tuning for multiple-tube carbon nanotube FETs,” IEEE Transactions on Nanotechnology, vol. 8, no. 1, pp. 4–9, 2009.

    Article  Google Scholar 

  18. A. Lin, et al., “A metallic-CNT-tolerant carbon nanotube technology using asymmetrically-correlated CNTs (ACCNT),” in Proc. Symposium on VLSI Technology, pp. 182–183, 2009.

    Google Scholar 

  19. J. Lohstroh, E. Seevinck, and J.D. Groot, “Worst-case static noise margin criteria for logic circuits and their mathematical equivalence,” Journal of Solid-State Circuits, vol. 18, no.6, pp. 803–806, 1983.

    Article  Google Scholar 

  20. E.J. McCluskey, Logic Design Principles, Englewood Cliffs, NJ: Prentice-Hall, 1986.

    Google Scholar 

  21. E.F. Moore, and C.E. Shannon, “Reliable circuits using less reliable relays. Part I,” Journal of the Franklin Institute, vol. 262, no. 3, pp. 191–208, 1956.

    Article  MathSciNet  Google Scholar 

  22. E.F. Moore, and C.E. Shannon, “Reliable circuits using less reliable relays. Part 2,” Journal of the Franklin Institute, vol. 262, no. 4, pp. 281–297, 1956.

    Article  MathSciNet  Google Scholar 

  23. http://www.opencores.org.

  24. N. Patil, J. Deng, H.-S. P. Wong, and S. Mitra. “Automated design of misaligned-carbon-nanotube-immune circuits,” in Proc. Design Automation Conference, pp. 958–961, 2007.

    Google Scholar 

  25. N. Patil, et al., “Integrated wafer-scale growth and transfer of directional carbon nanotubes and misaligned-carbon-nanotube-immune logic structures,” in Proc. Symposium on VLSI Technology, pp. 205–206, 2008.

    Google Scholar 

  26. N. Patil, et al., “Design methods for misaligned and mis-positioned carbon-nanotube-immune circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 1725–1736, 2008.

    Google Scholar 

  27. N. Patil, et al., “Circuit-level performance benchmarking and scalability of carbon nanotube transistor circuits,” IEEE Transactions on Nanotechnology, vol. 8, no.1, pp.37–45, 2009.

    Article  Google Scholar 

  28. N. Patil, et al., “Wafer-scale growth and transfer of aligned single-walled carbon nanotubes,” IEEE Transactions on Nanotechnology, vol. 8, no. 4, pp. 498–504, 2009.

    Article  Google Scholar 

  29. N. Patil, et al., “Digital VLSI logic technology using carbon nanotube FETs: Frequently asked questions,” in Proc. Design Automation Conference, pp. 304–309, 2009.

    Google Scholar 

  30. N. Patil, et al., “VMR: VLSI-compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using carbon nanotube FETs,” in Proc. Int. Electron Devices Meeting, pp. 573–576, 2009.

    Google Scholar 

  31. E. Pop, “The role of electrical and thermal contact resistance for Joule breakdown of single-wall carbon nanotubes,” Nanotechnology, vol. 19, 295202, 2008.

    Article  Google Scholar 

  32. L. Qu, D. Feng, and L. Dai, “Preferential syntheses of semiconducting vertically aligned single-walled carbon nanotubes for direct use in FETs,” Nano Letters, vol. 8, no. 9, pp. 2682–2687, 2008.

    Article  Google Scholar 

  33. R.M. Rad, and M. Tehranipoor, “A hybrid FPGA using nanoscale cluster and CMOS scale routing,” in Proc. Design Automation Conference, pp. 727–730, 2006.

    Google Scholar 

  34. W. Rao, A. Orailoglu, and R. Karri, “Fault-tolerant nanoelectronic processor architectures,” in Proc. Asia South Pacific Design Automation Conf., pp. 311–316, 2005.

    Google Scholar 

  35. R. Saito, G. Dresselhaus, and M. Dresselhaus, Physical Properties of Carbon Nanotubes, London: Imperial College, 1998.

    Book  Google Scholar 

  36. M.B. Tahoori, “Application-independent defect-tolerance of reconfigurable nano-architectures,” ACM Journal Emerging Technologies in Computing, vol. 2, pp. 197–218, 2006.

    Article  Google Scholar 

  37. L. Wei, D.J. Frank, L. Chang, and H.-S.P. Wong, “A non-iterative compact model for carbon nanotube FETs incorporating source exhaustion effects,” in Proceedings of the International Electron Devices Meeting, pp. 917–920, 2009.

    Google Scholar 

  38. G. Zhang, et al., “Selective etching of metallic carbon nanotubes by gas-phase reaction,” Science, vol. 314, pp. 974–979, 2006.

    Article  Google Scholar 

  39. J. Zhang, N. Patil, and S. Mitra, “Design guidelines for metallic-carbon-nanotube-tolerant digital logic circuits,” in Proc. Design Automation and Test in Europe, pp. 1009–1014, 2008.

    Google Scholar 

  40. J. Zhang, N. Patil, and S. Mitra, “Probabilistic analysis and design of metallic-carbon-nanotube-tolerant digital logic circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 38, no. 9, pp. 1307–1320, 2009.

    Article  Google Scholar 

  41. J. Zhang, N. Patil, A. Hazeghi, and S. Mitra, “Carbon nanotube circuits in the presence of carbon nanotube density variations,” in Proc. Design Automation Conference, pp. 71–76, 2009.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Nishant Patil .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Patil, N., Lin, A., Zhang, J., Wei, H., Wong, HS.P., Mitra, S. (2011). Imperfection-Immune Carbon Nanotube VLSI Circuits. In: Jha, N., Chen, D. (eds) Nanoelectronic Circuit Design. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-7609-3_8

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-7609-3_8

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-7444-0

  • Online ISBN: 978-1-4419-7609-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics