Skip to main content

A Hybrid Nano/CMOS Dynamically Reconfigurable System

  • Chapter
  • First Online:
Nanoelectronic Circuit Design
  • 2306 Accesses

Abstract

Rapid progress is being made in the area of nanoelectronic circuit design. However, nanofabrication techniques are not mature yet. Thus, large-scale fabrication of such circuits is not feasible. To ease fabrication and overcome the expected high defect levels in nanotechnology, hybrid nono/CMOS reconfigurable architecture are attractive, especially if they can be fabricated using photolithography. This chapter describes one such architecture called NATURE. Unlike traditional reconfigurable architectures that can only support partial or coarse-grain dynamic reconfiguration, NATURE can support cycle-level dynamic reconfiguration. This allows the amount of functionality mapped in the same chip area to increase by more than an order of magnitude. The chapter also discusses how arbitrary logic circuits can be efficiently mapped to NATURE.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Betz, V. and Rose, J. 1997. VPR: A new packing, placement and routing tool for FPGA research. In Proceedings of the International Workshop on Field-Programmable Gate Arrays. 213–222.

    Google Scholar 

  2. Betz, V. and Rose, J. 1998. How much logic should go in an FPGA logic block. IEEE Des. Test Comput. 15, 10–15.

    Article  Google Scholar 

  3. Betz, V. and Rose, J. 1999. FPGA routing architecture: Segmentation and buffering to optimize speed and density. In Proceedings of the International Symposium on Field Programmable Gate Arrays. 59–68.

    Google Scholar 

  4. Bozorgzadeh, E., Memik, S. O., Yang, X., and Sarrafzadeh, M. 2004. Routability-driven packing: Metrics and algorithms for cluster-based FPGAs. J. Circ. Syst. Comput. 13, 77–100.

    Article  Google Scholar 

  5. Burke, P. J. 2003. An RF circuit model for carbon nanotubes. IEEE Trans. Nanotechnol. 2, 55–58.

    Article  Google Scholar 

  6. Butts, M., Dehon, A., and Goldstein, S. C. 2002. Molecular electronics: Devices, systems and tools for gigagate, gigabit chips. In Proceedings of the International Conference on Computer-Aided Design. 433–440.

    Google Scholar 

  7. Capasso, F. and Kiehl, R. A. 1985. Resonant tunneling transistor with quantum well base and high-energy injection: A new negative differential resistance device. J. Appl. Phys. 58, 1396.

    Article  Google Scholar 

  8. Chow, P., Seo, S. O., Rose, J., Chung, K., Paez–Monzon, G., and Rahardja, I. 1999. The design of an SRAM-based field-programmable gate array – Part I: Architecture. IEEE Trans. VLSI Syst. 7, 191–197.

    Article  Google Scholar 

  9. Cong, J. 1996. Combinational logic synthesis for LUT based field-programmable gate arrays. ACM Trans. Des. Automat. Electron. Syst. 1, 145–204.

    Article  Google Scholar 

  10. Cong, J. and Ding, Y. 1994. FlowMap: An optimal technology mapping algorithm for delay optimization in lookup-table-based FPGA designs. IEEE Trans. Comput.-Aid. Des. 13, 1–12.

    Article  Google Scholar 

  11. Cui, Y., Zhong, Z., Wang, D., Wang, W. U., and Lieber, C. M. 2003. High performance silicon nanowire field effect transistors. Nano Lett. 3, 149–152.

    Article  Google Scholar 

  12. DeHon, A. 1996. Dynamically programmable gate arrays: A step toward increased computational density. In Proceedings of the 4th Canadian Workshop of Field-Programmable Devices. 47–54.

    Google Scholar 

  13. DeHon, A. 2006. 3D nanowire-based programmable logic. In Proceedings of the International Conference on Nano-Networks. 1–5.

    Google Scholar 

  14. DeHon, A. and Rubin, R. 2004. Design of FPGA interconnect for multilevel metallization. IEEE Trans. VLSI Syst. 12, 1038–1050.

    Article  Google Scholar 

  15. DeHon, A. and Wilson, M. J. 2004. Nanowire-based sublithographic programmable logic arrays. In Proceedings of the International Symposium on Field Programmable Gate Arrays. 123–132.

    Google Scholar 

  16. Fukμmoto, Y., Nebashi, R., Mukai, T., Tsuji, K., and Suzuki, T. 2008. Toggle magnetic random access memory cells scalable to a capacity of over 100 megabits. Am. Inst. Phys. 103, 40–48.

    Google Scholar 

  17. Ghosh, I., Raghunathan, A., and Jha, N. K. 1999. Hierarchical test generation and design for testability methods for ASPPs and ASIPs. IEEE Trans. Comput.-Aid. Des. 18, 357–370.

    Article  Google Scholar 

  18. Goldstein, S. C. and Budiu, M. 2001. Nanofabrics: Spatial computing using molecular nanoelectronics. In Proceedings of the International Symposium on Computer Architecture. 178–189.

    Google Scholar 

  19. Goldstein, S. C., Schmit, H., Budiu, M., Cadambi, S., Moe, M., and Taylor, R. R. 2000. PipeRench: A reconfigurable architecture and compiler. IEEE Comput. 33, 70–77.

    Google Scholar 

  20. Ha, D. and Kim, K. 2007. Recent advances in high-density phase change memory (PRAM). In Proceedings of the Conference on VLSI Technology, System and Applications. 1–4.

    Google Scholar 

  21. Hauck, S., Fry, T. W., Hosler, M. M., and Kao, J. P. 2004. The Chimaera reconfigurable functional unit. IEEE Trans. VLSI Syst. 12, 206–217.

    Article  Google Scholar 

  22. ITC. 1999. ITC’90 benchmarks. http://www.eerc.utexas.edu/itc99–benchnmarks/bench.html.

  23. ITRS. 2007. International Technology Roadmap for Semiconductors. http://public.itrs.net.

  24. Javey, A., Guo, J., Farmer, F. B., Wang, Q., and Wang, D. 2004. Carbon nanotube field-effect transistors with integrated ohmic contacts and high-k gate dielectrics. Nano Lett. 4, 447–450.

    Article  Google Scholar 

  25. Kao, J., Naren, S., and Chandrakasan, A. 2002. Subthreshold leakage modeling and reduction techniques. In Proceedings of the International Conference on Computer-Aided Design. 141–148.

    Google Scholar 

  26. Kim, J.-H., Lee, J.-W., Lee, S.-J., and Shin, H. 2002. Macro model and sense amplifier for an MRAM. J. Korean Phys. Soc. 41, 896–901.

    Google Scholar 

  27. Lai, S. 2003. Current status of the phase change memory and its future. In Proceedings of the International Electronic Devices Meeting. 10.1.1–10.1.4.

    Google Scholar 

  28. Lee, K.-J., Cho, B.-H., Cho, W.-Y., Kang, S., and Choi, B.-G. 2007. A 90 nm 1.8 V 512 Mb diode-switch PRAM with 266MB/s read throughput. In Proceedings of the IEEE International Solid-State Circuits Conference. 472–473.

    Google Scholar 

  29. Lisanke, R. 1988. Logic synthesis and optimization benchmarks. Tech. rep., Microelectronics Center of North Carolina.

    Google Scholar 

  30. Marquardt, A. S., Betz, V., and Rose, J. 1999. Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density. In Proceedings of the International Symposium on FPGAs. 37–46.

    Google Scholar 

  31. Marquardt, A. S., Betz, V., and Rose, J. 2000. Timing-driven placement for FPGAs. In Proceedings of the International Symposium on FPGA. 203–213.

    Google Scholar 

  32. Mei, B., Vernalde, S., Verkest, D., Man, H. D., and Lauwereins, R. 2003. ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix. In Proceedings of the International Conference on Field-Programmable Logic and Applications. 61–70.

    Google Scholar 

  33. Muttreja, A., Ravi, S., and Jha, N. K. 2008. Variability-tolerant register-transfer level synthesis. In Proceedings of the International Conference on VLSI Design. 621–628.

    Google Scholar 

  34. NANTERO. 2008. Nantero. http://www.nantero.com.

  35. Paulin, P. G. and Knight, J. P. 1989. Force-directed scheduling for the behavioral synthesis of ASIC’s. IEEE Trans. Comput.-Aid. Des. 8, 661–679.

    Article  Google Scholar 

  36. Rose, J., Gamal, A. E., and Sangiovanni-Vincentelli, A. 1993. Architecture of field-programmable gate arrays. Proc. IEEE 81, 1013–1029.

    Article  Google Scholar 

  37. Rueckes, T., Kim, K., Joselevich, E., Tseng, G., Cheung, C., and Lieber, C. M. 2000. Carbon nanotube-based nonvolatile random access memory for molecular computing. Science 289, 94–97.

    Article  Google Scholar 

  38. Salamon, D. and Cockburn, B. F. 2003. An electrical simulation model for the chalcogenide phase change memory cell. In Proceedings of the International Workshop on Memory Technology, Design and Testing. 86–91.

    Google Scholar 

  39. Sarkar, J. 2007. Evolution of phase change memory characteristics with operating cycles: Electrical characterization and physical modeling. Appl. Phys. Lett. 91, 89–93.

    Article  Google Scholar 

  40. Shang, L., Kaviani, A. S., and Bathala, K. 2002. Dynamic power consumption in Virtex-II FPGA family. In Proceedings of the FPGA Conference. 157–164.

    Google Scholar 

  41. Smith, R. F., Rueckes, T., Konsek, S., Ward, J. W., and Brock, D. K. 2007. Carbon nanotube based memory development and testing. In Proceedings of the Aerospace Conference 1–5.

    Google Scholar 

  42. Snider, G., Kuekes, P., and Williams, R. S. 2004. CMOS-like logic in defective, nanoscale crossbars. Nanotechnology 15, 881–891.

    Article  Google Scholar 

  43. Stix, G. 2005. Nanotubes in the clean room. Sci. Am. 82–85.

    Google Scholar 

  44. Strukov, D. B. and Likharev, K. K. 2005. CMOL FPGA: A reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices. Nanotechnology 16, 888–900.

    Article  Google Scholar 

  45. Sugibayashi, T., Honda, T., Sakimura, N., Tahara, S., and Kasai, N. 2007. MRAM applications using unlimited write endurance. IEEE Trans. Electron 10, 1936–1940.

    Google Scholar 

  46. SYNOPSYS. 2009. Synopsys. http://www.synopsys.com.

  47. Tehrani, S., Slaughter, J. M., Deherrera, M., Engel, B. N., and Rizzo, N. D. 2003. Magnetoresistive random access memory using magnetic tunnel junctions. Proc. IEEE 91, 703–714.

    Article  Google Scholar 

  48. Lingappan, L., Ravi, S., and Jha, N. K. 2006. Satisfiability-based test generation for nonseparable RTL controller-datapath circuits. IEEE Trans. Comput.-Aid. Des., 25 544–557.

    Google Scholar 

  49. Trimberger, S., Carberry, D., Johnson, A., and Wong, J. 1997. A time-multiplexed FPGA. In Proceedings of the Symposium on FPGAs for Custom Computing Machines. 22–28.

    Google Scholar 

  50. Tu, D., Liu, M., and Haruehanroengra, S. 2007. Three-Dimensional CMOL: Three-dimensional integration of CMOS/nanomaterial hybrid digital circuits. Micro Nano Lett. 2, 40–45.

    Article  Google Scholar 

  51. Wang, J. P. and Meng, H. 2007. Spin torque transfer structure with new spin switching configurations. Eur. Phys. J. B 59, 471–474.

    Article  Google Scholar 

  52. Zhang, W. and Jha, N. K. 2005. ALLCN: An automatic logic-to-layout tool for carbon nanotube based nanotechnology. In Proceedings of the International Conference on Computer Design. 281–288.

    Google Scholar 

  53. Zhang, W., Jha, N. K., and Shang, L. 2006. NATURE: A hybrid nanotube/CMOS dynamically reconfigurable architecture. In Proceedings of the Design Automation Conference. 711–716.

    Google Scholar 

  54. Zhang, W., Jha, N. K., and Shang, L. 2009. A hybrid nano/CMOS dynamically reconfigurable system – Part I: Architecture. ACM J. Emerg. Technol. Comput. Syst. 5, 16.1–16.30.

    Google Scholar 

  55. Zhang, W., Shang, L., and Jha, N. K. 2007. NanoMap: An integrated design optimization flow for a hybrid nanotube/CMOS dynamically reconfigurable architecture. In Proceedings of the Design Automation Conference. 300–305.

    Google Scholar 

  56. Zhang, W., Jha, N. K., and Shang, L. 2009a. A hybrid nano/CMOS dynamically reconfigurable system – Part II: Design optimization flow. ACM J. Emerg. Technol. Comput. Syst. 4, 13.1–13.31.

    Google Scholar 

  57. Zhang, W., Jha, N. K., and Shang, L. 2009b. Design space exploration and data memory architecture design for a hybrid nano/CMOS dynamically reconfigurable architecture. ACM J. Emerg. Technol. Comput. Syst. 5, 17.1–17.27.

    Google Scholar 

  58. Zhong, L. and Jha, N. K. 2005. Interconnect-aware low-power high-level synthesis. IEEE Trans. Comput.-Aid. Des. Integ. Circ. Syst. 24, 336–351.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Niraj K. Jha .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Zhang, W., Jha, N.K., Shang, L. (2011). A Hybrid Nano/CMOS Dynamically Reconfigurable System. In: Jha, N., Chen, D. (eds) Nanoelectronic Circuit Design. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-7609-3_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-7609-3_4

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-7444-0

  • Online ISBN: 978-1-4419-7609-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics