Skip to main content

CMOS Nanophotonics: Technology, System Implications, and a CMP Case Study

  • Chapter
  • First Online:
Low Power Networks-on-Chip

Abstract

The latency, bandwidth, and power consumption of on-chip interconnection networks are central concerns in the design of multi- and many-core microprocessors. When the global network-on-chip (NoC) is electrical, the power consumption and the limited connectivity caused by difficulties associated with global wires will limit network performance due to power or topology constraints unless applications can be written, which only require nearest neighbor communication. This is a highly unlikely scenario, and these performance and power barriers will become more severe with shrinking process technology and increased core counts. Emerging CMOS nanophotonic technologies provide a compelling alternative to traditional all-electronic NoCs. Wire power consumption is fundamentally linear with wire length. Due to the low loss nature of waveguides, optical data transmission primarily consumes energy at the endpoints where optical to electrical (OE) and electrical to optical (EO) conversion takes place. Therefore, the energy required to transport data is relatively independent of path length for path lengths of interest for NoC-based systems. Additionally, the use of wave division multiplexing can be exploited to improve per lane bandwidth. Signal integrity limitations make this option intractable for electrical NoCs. The result is that nanophotonic NoCs can provide both higher throughput and lower power consumption than all-electrical NoCs. This chapter introduces CMOS nanophotonic technology and considers its use in photonic chip-wide networks enabling many-core microprocessors with greatly enhanced performance and flexibility while consuming less power than their electrical counterparts. It provides, as a case study, a design that takes advantage of CMOS nanophotonics to achieve ten-teraop performance in a 256-core 3D chip stack, using optically connected main memory, very high memory bandwidth, cache coherence across all cores, no bisection bandwidth limits on communication, and cross-chip communication at very low latency with cache-line granularity.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Proceedings of the ISSCC Workshop F2: Design of 3D-Chipstacks. IEEE (2007). Organizers: W. Weber and W. Bowhill

    Google Scholar 

  2. Ahn, J., Fiorentino, M., Beausoleil, R., Binkert, N., Davis, A., Fattal, D., Jouppi, N., McLaren, M., Santori, C., Schreiber, R., Spillane, S., Vantrease, D., Xu, Q.: Devices and architectures for photonic chip-scale integration. Applied Physics A: Materials Science and Processing 95(4), 989–997 (2009)

    Article  Google Scholar 

  3. Analui, B., Guckenberger, D., Kucharski, D., Narasimha, A.: A fully integrated 20-gb/s optoelectronic transceiver implemented in a standard 0.13 μm cmos soi technology. IEEE Journal of Solid-State Circuits 41(12), 2945–2955 (2006)

    Google Scholar 

  4. ANSI/IEEE: Local Area Networks: Token Ring Access Method and Physical Layer Specifications, Std 802.5. Tech. rep. (1989)

    Google Scholar 

  5. Asanovic, K., Bodik, R., Catanzaro, B.C., Gebis, J.J., Husbands, P., Keutzer, K., Patterson, D.A., Plishker, W.L., Shalf, J., Williams, S.W., Yelick, K.A.: The Landscape of Parallel Computing Research: A View from Berkeley. Tech. Rep. UCB/EECS-2006-183, EECS Department, University of California, Berkeley (2006)

    Google Scholar 

  6. Banerjee, K., Mehrotra, A.: A power-optimal repeater insertion methodology for global interconnects in nanometer designs. IEEE Transactions on Electron Devices 49(11), 2001–2007 (2002)

    Article  Google Scholar 

  7. Batten, C., Joshi, A., Orcutt, J., Khilo, A., Moss, B., Holzwarth, C., Popvic, M., Li, H., Smitth, H., Hoyt, J., Kartner, F., Ram, R., Stojanovic, V., Asanovic, K.: Building manycore processor-to-DRAM networks with monolithic silicon photonics. In: Hot Interconnects (2008)

    Google Scholar 

  8. Binkert, N., Davis, A., Lipasti, M., Schreiber, R., Vantrease, D.: Nanophotonic Barriers. In: Workshop on Photonic Interconnects and Computer Architecture (2009)

    Google Scholar 

  9. Binkert, N.L., Dreslinski, R.G., Hsu, L.R., Lim, K.T., Saidi, A.G., Reinhardt, S.K.: The M5 simulator: Modeling networked systems. IEEE Micro 26(4), 52–60 (2006)

    Article  Google Scholar 

  10. Black, B., et al: Die Stacking 3D Microarchitecture. In: Proceedings of MICRO-39. IEEE (2006)

    Google Scholar 

  11. Bogineni, K., Sivalingam, K.M., Dowd, P.W.: Low-complexity multiple access protocols for wavelength-division multiplexed photonic networks. IEEE Journal on Selected Areas in Communications 11, 590–604 (1993)

    Article  Google Scholar 

  12. Chaudhry, S., Caprioli, P., Yip, S., Tremblay, M.: High-performance throughput computing. IEEE Micro 25(3), 0272–1732 (2005)

    Google Scholar 

  13. Chen, L., Preston, K., Manipatruni, S., Lipson, M.: Integrated GHz silicon photonic interconnect with micrometer-scale modulators and detectors. Optical Express 17, 15248–15256 (2009)

    Article  Google Scholar 

  14. Dally, W., Seitz, C.: Deadlock-free message routing in multiprocessor interconnection networks. IEEE Transactions on Computers C-36(5), 547–553 (1987)

    Google Scholar 

  15. Davis, W.R., Wilson, J., Mick, S., Xu, J., Hua, H., Mineo, C., Sule, A., Steer, M., Franzon, P.: Demystifying 3D ICS: The pros and cons of going vertical. IEEE Design and Test of Computers 22(1), 498–510 (2005)

    Google Scholar 

  16. Falcon, A., Faraboschi, P., Ortega, D.: Combining Simulation and Virtualization through Dynamic Sampling. In: ISPASS (2007)

    Google Scholar 

  17. Fischer, U., Zinke, T., Kropp, J.R., Arndt, F., Petermann, K.: 0.1 db/cm waveguide losses in single-mode soi rib waveguides. IEEE Photonics Technology Letters 8(5), 647–648 (1996)

    Google Scholar 

  18. Green, W.M., Rooks, M.J., Sekaric, L., Vlasov, Y.A.: Ultra-compact, low rf power, 10 gb/s siliconmach-zehnder modulator. Optical Express 15(25), 17106–17113 (2007)

    Article  Google Scholar 

  19. Gubenko, A., Krestnikov, I., Livshtis, D., Mikhrin, S., Kovsh, A., West, L., Bornholdt, C., Grote, N., Zhukov, A.: Error-free 10 gbit/s transmission using individual fabry-perot modes of low-noise quantum-dot laser. Electronics Letters 43(25), 1430–1431 (2007)

    Article  Google Scholar 

  20. Ho, R., Mai, K., Horowitz, M.: The Future of Wires. Proceedings of the IEEE, Vol. 89, No. 4 (2001)

    Google Scholar 

  21. Intel: Intel Atom Processor. http://www.intel.com/techno-logy/atom

  22. Intel: Introducing the 45nm Next Generation Intel Core Microarchitecture. http://www.intel.com/technology/magazine/45nm/coremicroarchitecture-0507.htm

  23. Kirman, N., Kirman, M., Dokania, R.K., Martinez, J.F., Apsel, A.B., Watkins, M.A., Albonesi, D.H.: Leveraging Optical Technology in Future Bus-based Chip Multiprocessors. In: MICRO’06, pp. 492–503. IEEE Computer Society, Washington, DC, USA (2006)

    Google Scholar 

  24. Koch, B.R., Fang, A.W., Cohen, O., Bowers, J.E.: Mode-locked silicon evanescent lasers. Optics Express 15(18), 11225 (2007)

    Article  Google Scholar 

  25. Kodi, A., Louri, A.: Performance adaptive power-aware reconfigurable optical interconnects for high-performance computing (hpc) systems. In: SC ’07: Proceedings of the 2007 ACM/IEEE conference on Supercomputing, pp. 1–12. ACM, NY, USA (2007)

    Google Scholar 

  26. Kovsh, A., Krestnikov, I., Livshits, D., Mikhrin, S., Weimert, J., Zhukov, A.: Quantum dot laser with 75nm broad spectrum of emission. Optics Letters 32(7), 793–795 (2007)

    Article  Google Scholar 

  27. Krishnamurthy, P., Franklin, M., Chamberlain, R.: Dynamic reconfiguration of an optical interconnect. In: ANSS ’03, p. 89. IEEE Computer Society, Washington, DC, USA (2003)

    Google Scholar 

  28. Kumar, R., Zyuban, V., Tullsen, D.M.: Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling. In: ISCA-32, pp. 408–419. IEEE Computer Society, Washington, DC, USA (2005)

    Google Scholar 

  29. Lipson, M.: Guiding, modulating, and emitting light on silicon–challenges and opportunities. Journal of Lightwave Technology 23(12), 4222–4238 (2005)

    Article  Google Scholar 

  30. Marsan, M.A., Bianco, A., Leonardi, E., Morabito, A., Neri, F.: All-optical WDM multi-rings with differentiated QoS. IEEE Communications Magazine 37(2), 58–66 (1999)

    Article  Google Scholar 

  31. Miller, D.: Device requirements for optical interconnects to silicon chips. Proceedings of the IEEE 97(7), 1166–1185 (2009)

    Article  Google Scholar 

  32. Muralimanohar, N.: Interconnect Aware Cache Architectures. Ph.D. thesis, University of University (2009)

    Google Scholar 

  33. Muralimanohar, N., Balasubramonian, R., Jouppi, N.: Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0. In: Proceedings of the 40th International Symposium on Microarchitecture (MICRO-40) (2007)

    Google Scholar 

  34. Nagarajan, R., et al: Large-scale photonic integrated circuits for long-haul transmission and switching. Journal of Optical Networking 6(2), 102–111 (2007)

    Article  Google Scholar 

  35. Nawrocka, M., Tao Liu, Xuan Wang, Panepucci, R.: Tunable silicon microring resonator with wide free spectral range. Applied Physics Letters 89(7), 071110 (2006)

    Google Scholar 

  36. Owens, J., Dally, W., Ho, R., Jayasimha, D., Keckler, S., Peh, L.S.: Research challenges for on-chip interconnection networks. IEEE Micro 27(5), 96–108 (2007)

    Article  Google Scholar 

  37. Palmer, R., Poulton, J., Dally, W.J., Eyles, J., Fuller, A.M., Greer, T., Horowitz, M., Kellam, M., Quan, F., Zarkeshvarl, F.: A 14mW 6.25Gb/s Transceiver in 90nm CMOS for Serial Chip-to-Chip Communications. In: ISSCC (2007)

    Google Scholar 

  38. Pan, Y., Kumar, P., Kim, J., Memik, G., Zhang, Y., Choudhary, A.: Firefly: illuminating future network-on-chip with nanophotonics. In: ISCA ’09, pp. 429–440. ACM, NY, USA (2009)

    Google Scholar 

  39. Pierce, J.: How far can data loops go? IEEE Transactions on Communications 20(3), 527–530 (1972)

    Article  Google Scholar 

  40. Razavi, B.: Design of Integrated Circuits for Optical Communications. McGraw-Hill, NY (2003)

    Google Scholar 

  41. Roelkens, G., Vermeulen, D., Thourhout, D.V., Baets, R., Brision, S., Lyan, P., Gautier, P., Fédéli, J.M.: High efficiency diffractive grating couplers for interfacing a single mode optical fiber with a nanophotonic silicon-on-insulator waveguide circuit. Applied Physics Letters 92(13), 131101 (2008)

    Article  Google Scholar 

  42. Semiconductor Industries Association: International Technology Roadmap for Semiconductors. http://www.itrs.net/ (2006 Update)

  43. Shijun Xiao, Khan, M., Hao Shen, Minghao Qi: A highly compact third-order silicon microring add-drop filter with a very large free spectral range, a flat passband and a low delay dispersion. Optics Express 15(22), 14,765–71 (2007)

    Google Scholar 

  44. Thoziyoor, S., Muralimanohar, N., Ahn, J., Jouppi, N.P.: CACTI 5.1. Tech. Rep. HPL-2008-20, HP Labs

    Google Scholar 

  45. Trotter, M.R.W.D.C., Young, R.W.: Maximally Confined High-Speed Second-Order Silicon Microdisk Switches. In: OSA Technical Digest (2008)

    Google Scholar 

  46. Vantrease, D., Schreiber, R., Monchiero, M., McLaren, M., Jouppi, N.P., Fiorentino, M., Davis, A., Binkert, N., Beausoleil, R.G., Ahn, J.: Corona: System Implications of Emerging Nanophotonic Technology. In: ISCA-35, pp. 153–164 (2008)

    Google Scholar 

  47. Vantrease, D., Binkert, N., Schreiber, R., Lipasti, M.H.: Light speed arbitration and flow control for nanophotonic interconnects. In: Micro-42: Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, pp. 304–315. ACM, NY, USA (2009)

    Google Scholar 

  48. Woo, S.C., Ohara, M., Torrie, E., Singh, J.P., Gupta, A.: The SPLASH-2 Programs: Characterization and Methodological Considerations. In: ISCA, pp. 24–36 (1995)

    Google Scholar 

  49. Xu, Q., Schmidt, B., Pradhan, S., Lipson, M.: Micrometre-scale silicon electro-optic modulator. Nature 435(7040), 325–327 (2005)

    Google Scholar 

  50. Xu, Q., Schmidt, B., Shakya, J., Lipson, M.: Cascaded silicon micro-ring modulators for wdm optical interconnection. Optical Express 14(20), 9431–9435 (2006)

    Article  Google Scholar 

  51. Xu, Q., Fattal, D., Beausoleil, R.G.: Silicon microring resonators with 1.5 μm radius. Optical Express 16(6), 4309–4315 (2008)

    Google Scholar 

  52. Xue, J., et al: An Intra-Chip Free-Space Optical Interconnect. In: CMP-MSI: 3rd Workshop on Chip Multiprocessor Memory Systems and Interconnects (2008)

    Google Scholar 

  53. Yu-Hsuan Kuo, Yong Kyu Lee, Yangsi Ge, Shen Ren, Roth, J., Kamins, T., Miller, D., Harris, J.: Strong quantum-confined Stark effect in germanium quantum-well structures on silicon. Nature 437(7063), 1334–6 (2005)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jung Ho Ahn .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Ahn, J.H. et al. (2011). CMOS Nanophotonics: Technology, System Implications, and a CMP Case Study. In: Silvano, C., Lajolo, M., Palermo, G. (eds) Low Power Networks-on-Chip. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-6911-8_9

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6911-8_9

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-6910-1

  • Online ISBN: 978-1-4419-6911-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics