Skip to main content

Adaptive Voltage Control for Energy-Efficient NoC Links

  • Chapter
  • First Online:
Low Power Networks-on-Chip
  • 943 Accesses

Abstract

As we enter the many-core integration era driven by advances in multiprocessor system-on-chip innovations, interconnect emerges as the bottleneck in achieving energy efficiency in systems-on-chip. This chapter surveys the state-of-the-art in energy-efficient communication link design for NoCs. After reviewing techniques at the datalink and physical abstraction layers, we introduce a lookahead-based transition-aware adaptive voltage control method for achieving improved energy-efficiency at moderate cost in performance and reliability. Limitations of this method are evaluated and future prospects in energy-efficient link design are projected.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. David, J. A., et al.: Interconnect limits on gigascale integration (GSI) in the 21st century. Proc. IEEE 89, 305–324 (2001)

    Article  Google Scholar 

  2. Sridhara, S. R., Ahmed, A., Shanbhag, N. R.: Area and energy-efficient crosstalk avoidance codes for on-chip buses. Proc. IEEE Conf. on Comp. Design (ICCD’04) 12–17 (2004)

    Google Scholar 

  3. Ismail, Y. I., Friedman, E. G., Neves, J. L.: Figures of merit to characterize the importance of on-chip inductance. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 7, 442–449 (1999)

    Google Scholar 

  4. Wang, H., Peh, L.-S., Malik, S.: Power-driven design of router microarchitectures in on-chip networks. Proc. 36th IEEE/ACM Int. Symp. on Microarchitecture (MICRO-36), 105–116 (2003)

    Google Scholar 

  5. Larsson-Edefors, P., Eckerbert, D., Eriksson, H., Svensson, K. J.: Dual threshold voltage circuits in the presence of resistive interconnects. Proc. IEEE Comp. Soc. Ann. Symp. on VLSI (ISVLSI’03), 225–230 (2003)

    Google Scholar 

  6. Jeong, W., Paul, B. C., Roy, K.: Adaptive supply voltage technique for low swing interconnects. Proc. 2004 Asia and South Pacific Design Automation Conf. (ASP-DAC’04), 284–287 (2004)

    Google Scholar 

  7. Simone, M., Lajolo, M., Bertozzi, D.: Variation tolerant NoC design by means of self-calibrating links. Proc. Design, Automation and Test in Europe (DATE’08), 1402–1407 (2008)

    Google Scholar 

  8. Wei, G. Y., Horowitz, M., Kim, J.: Energy-efficient design of high-speed links. In: Pedram, M., Rabaey, J. (eds.) Power Aware Design Methodologies, Kluwer, Norwell, MA (2002)

    Google Scholar 

  9. Akl, C. J., Bayoumi, M. A.: Transition skew coding for global on-chip interconnects. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 16, 1091–1096 (2008)

    Google Scholar 

  10. Weeasekera, R., Pamunuwa, D., Zheng, L.-R., Tenhunen, H.: Minimal-power, delay-balanced SMART repeaters for global interconnects in the nanometer regime. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 16, 589–593 (2008)

    Google Scholar 

  11. Zhang, H., George, V., Rabaey, J. M.: Low-swing on-chip signaling techniques: effectiveness and robustness. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 8, 264–272 (2000)

    Google Scholar 

  12. Benini, L., De Micheli, G., Macii, E., Sciuto, D., Silvano, C.: Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems. Proc. 7th Great Lakes Symp. on VLSI (GLSVLSI’97), 77–82 (1997)

    Google Scholar 

  13. Bertozzi, D., Benini, L., De Micheli, G.: Error control schemes for on-chip communication links: the energy-reliability tradeoff. IEEE Trans. Computer-Aided Design of Integrated Circuits and Syst. 24, 818–831 (2005)

    Article  Google Scholar 

  14. Worm, F., Ienne, P., Thiran, P., De Micheli, G.: A robust self-calibrating transmission scheme for on-chip networks. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 13, 126–139 (2005)

    Google Scholar 

  15. Ghoneima, M., et al.: Skewed repeater bus: a low power scheme for on-chip bus. IEEE Trans. Circuits and Syst.-I: Fundamental Theory and App. 55, 1904–1910 (2006)

    Article  MathSciNet  Google Scholar 

  16. Venkatraman, V., Anders, M., Kaul, H., Burleson, W., Krishnamurthy, R.: A low-swing signaling circuit technique for 65nm on-chip interconnects. Proc. IEEE Int. Soc Conf. (SoCC’06) 289–292 (2006)

    Google Scholar 

  17. Stan, M. R., Burleson, W. P.: Bus-invert coding for low-power I/O. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 3, 49–58 (1995)

    Google Scholar 

  18. Shin, Y., Chae, S.-I., Choi, K.: Partial bus-invert coding for power optimization of application-specific systems. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 9, 377–383 (2001)

    Google Scholar 

  19. Yang, J., Gupta, R., Zhang, C.: Frequent value encoding for low power data buses. ACM Trans. Design Automation of Electronic Syst. (TODAES) 9, 354–384 (2004)

    Article  Google Scholar 

  20. Sotiriadis, P., Chandrakasan, A.: Low power bus coding techniques considering inter-wire capacitances. Proc. IEEE Custom Integrated Circuits Conf. (CICC’00) 507–510 (2000)

    Google Scholar 

  21. Li, L., Vijaykrishnan, N., Kandemir, M., Irwin, M. J.: A crosstalk aware interconnect with variable cycle transmission. Proc. Design, Automation and Test in Europe (DATE’04) 102–107 (2004)

    Google Scholar 

  22. Raghunathan, V., Srivastava, M. B., Gupta, R. K.: A survey of techniques for energy efficient on-chip communication. Proc. Design Automation Conf. (DAC’03) 900–905 (2003)

    Google Scholar 

  23. IEEE Standard for Low-Voltage Differential Signals (LVDS) for Scalable Coherent Interface (SCI), 1596.3 SCI-LVDS Standard, IEEE Std 1596.3-1996 (1996)

    Google Scholar 

  24. Schinkel, D., Mensink, E., Klumperink, E., Tuijl, E. V., Nauta, B.: Low-power, high-speed transceivers for network-on-chip communication. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 17, 12–21 (2009)

    Article  Google Scholar 

  25. Banerjee, K., Mehrotra, A.: A power-optimal repeater insertion methodology for global interconnects in nanometer designs. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 49, 2001–2007 (2002)

    Google Scholar 

  26. Chen, G., Friedman, E. G.: Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 14, 161–172 (2006)

    Article  Google Scholar 

  27. Ghoneima, M., Ismail, Y. I., Khellah, M. M., Tschanz, J. W., De, V.: Reducing the effective coupling capacitance in buses using threshold voltage adjustment techniques. IEEE Trans. Circuits and Syst.-I: Regular Papers 53, 1928–1933 (2006)

    Article  Google Scholar 

  28. Lin, Y., He, L.: Dual-Vdd interconnect with chip-level time slack allocation for FPGA power reduction. IEEE Trans. Computer-Aided Design of Integrated Circuits and Syst. 25, 2023–2034 (2006)

    Article  Google Scholar 

  29. Kaul, H., Sylvester, D.: A novel buffer circuit for energy efficient signaling in dual-VDD systems. Proc. 15th ACM Great Lakes Symp. on VLSI (GLSVLSI’05) 462–467 (2005)

    Google Scholar 

  30. Deogun, H. S., Senger, R., Sylvester, D., Brown, R., Nowka, K.: A dual-VDD boosted pulsed bus technique for low power and low leakage operation. Proc. IEEE Symp. Low Power Electronics and Design (ISLPED’06) 73–78 (2006)

    Google Scholar 

  31. Wang, P., Pei, G., Kan, E. C.-C.: Pulsed wave interconnect. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 21, 453–463 (2004)

    Article  Google Scholar 

  32. Jose, A. P., Patounakis, G., Shepard, K. L.: Near speed-of-light on-chip interconnects using pulsed current-mode signalling. Proc. Symp. VLSI Circuits 108–111 (2005)

    Google Scholar 

  33. Khellah, M., Tschanz, J., Ye, Y., Narendra, S., De, V.: Static pulsed bus for on-chip interconnects. Proc. Symp. VLSI Circuits 78–79 (2002)

    Google Scholar 

  34. Katoch, A., Veendrick, H., Seevinck, E.: High speed current-mode signaling circuits for on-chip interconnects. Proc. IEEE Int. Symp. Circuits and Syst. (ISCAS’05) 4138–4141 (2005)

    Google Scholar 

  35. Bashirullah, R., Wentai, L., Cavin, R., III: Current-mode signaling in deep submicrometer global interconnects. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 11, 406–417 (2003)

    Article  Google Scholar 

  36. Kumar, S., et al.: A network on chip architecture and design methodology. Proc. IEEE Comp. Society Ann. Symp. on VLSI (ISVLSI’02) 105–112 (2002)

    Google Scholar 

  37. Amde, M., Felicijan, T., Efthymiou, A., Edwards, D., Lavagno, L.: Asynchronous on-chip networks. IEE Proc. Comput. Digit. Tech. 152, 273–283 (2005)

    Article  Google Scholar 

  38. Bjerregaard, T., Sparso, J.: A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip. Proc. Conf. Design, Automation and Test in Europe (DATE’05) 1226–1231 (2005)

    Google Scholar 

  39. Lines, A.: Asynchronous interconnect for synchronous SoC design. IEEE Micro 24, 32–41 (2004)

    Article  Google Scholar 

  40. Rosenfeld, J., Friedman, E. G.: Quasi-resonant interconnects: a low power, low latency design methodology. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 17, 181–193 (2009)

    Google Scholar 

  41. Shang, L., Peh, L.-S., Jha, N. K.: Dynamic voltage scaling with links for power optimization of interconnection networks. Proc. Int. Symp. High Perf. comp. Arch. (HPCA’03) 91–102 (2003)

    Google Scholar 

  42. Kaul, H., Sylvester, D., Blaauw, D., Mudge, T., Austin, T.: DVS for on-chip bus designs based on timing error correction. Proc. Design, Automation and Test in Europe (DATE’05) 80–85 (2005)

    Google Scholar 

  43. Fu, B., Ampadu, P.: On Hamming product codes with type-II hybrid ARQ for on-chip interconnects. IEEE Trans. Circuits and Syst.-I: Regular Papers 56, 2042–2054 (2009)

    Article  MathSciNet  Google Scholar 

  44. Fu, B., Wolpert, D., Ampadu, P.: Lookahead-based adaptive voltage scheme for energy-efficient on-chip interconnect links. Proc. 3rd ACM/IEEE Int. Symp. on Networks-on-Chip (NoCS’09) 54–64 (2009)

    Google Scholar 

  45. Arizona State University, Predictive Technology Model [Online]. Available: http://www.eas.asu.edu/~ptm/

  46. Wong, S., Lee, G., Ma, D.: Modeling of interconnect capacitance, delay, and crosstalk in VLSI. IEEE Trans. Semiconductor Manufacturing 13, 108–111 (2000)

    Article  Google Scholar 

  47. Xu, S., Benito, I., Burleson, W.: Thermal impacts on NoC interconnects. Proc. IEEE Int. Symp. on Networks-on-Chip (NoCS’07) 220–220 (2007) Full version Available: http://python.ecs.umass.edu/~icdg/publications/pdffiles/xu$_$noc07.pdf

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Paul Ampadu .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Ampadu, P., Fu, B., Wolpert, D., Yu, Q. (2011). Adaptive Voltage Control for Energy-Efficient NoC Links. In: Silvano, C., Lajolo, M., Palermo, G. (eds) Low Power Networks-on-Chip. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-6911-8_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6911-8_3

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-6910-1

  • Online ISBN: 978-1-4419-6911-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics