Skip to main content

RF-Interconnect for Future Network-On-Chip

  • Chapter
  • First Online:
Low Power Networks-on-Chip

Abstract

In the era of the nanometer CMOS technology, due to stringent system requirements in power and performance, microprocessor manufacturers are relying more on chip multi-processor (CMP) designs. CMPs partition silicon real estate among a number of processor cores and on-chip caches, and these components are connected via an on-chip interconnection network (Network-on-chip). It is projected that communication via NoC is one of the primary limiters to both performance and power consumption. To mitigate such problems, we explore the use of multiband RF-interconnect (RF-I) which can communicate simultaneously through multiple frequency bands with low power signal transmission and reconfigurable bandwidth. At the same time, we investigate the CMOS mixed-signal circuit implementation challenges for improving the RF-I signaling integrity and efficiency. Furthermore, we propose a micro-architectural framework that can be used to facilitate the exploration of scalable low power NoC architectures based on physical planning and prototyping.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. S. Borkar, “Thousand Core Chips – A Technology Perspective,” Pro-ceedings of the 44th annual conference on design automation, pp. 746–749, 2007

    Google Scholar 

  2. W.J. Dally, B. Towles, “Route Packets, Not wire: On-Chip Inter-connection Networks,” Proceeding of the 38th Design Automation Conference (DAC), pp. 684–689, 2001

    Google Scholar 

  3. L. Benini, G. De Micheli, “Networks on Chips: a new SoC paradigm,” IEEE Computer Magazine, pp. 70–78, Jan. 2002

    Google Scholar 

  4. S. Vangal et al., “An 80-Title 1.28 TFLOPS Network-on-Chip in 65nm CMOS,”  IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, pp. 98–99, 2007, San Francisco, California, USA

    Google Scholar 

  5. S. Bell et al., “TILE64TM Processor: A 64-Core SoC with Mesh Intercon-nect,” IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, pp. 88–89 2008, San Francisco, California, USA

    Google Scholar 

  6. R. Kumar, V. Zyuban, D. Tullsen, “Interconnections in multi-core architectures: Understanding Mechanisms, Overheads and Scaling,” Proceed-ing of the 32nd International Symposium on Computer Architecture, pp. 408–419, June 2005

    Google Scholar 

  7. J.D. Owens, W.J. Dally, R. Ho, D.N. Jayasimha, S.W. Keckler, L.-S. Peh, “Research Challenges for On-Chip Interconnection Networks,” IEEE MICRO, pp. 96–108, Sept 2007

    Google Scholar 

  8. T. Karnik, S. Borkar, “Sub-90nm Technologies-Challenges and Opportunities for CAD,” Proceedings of International Conference on Com-puter Aided Design, pp. 203–206, November 2002

    Google Scholar 

  9. J. Cong, “An Interconnect-Centric Design Flow for Nanometer Technologies,” Proc. of the IEEE, April 2001, vol. 89, no. 4, pp. 505–528

    Google Scholar 

  10. R. Ho, K.W. Mai, M. Horowitz, “The future of wires,” Proceedings of the IEEE, vol. 89, no. 4, pp. 490–504, April 2001

    Article  Google Scholar 

  11. A.P. Jose, K.L. Shapard, “Distributed Loss-Compensation Technique for Energy-Efficient Low-Latency On-Chip Communication,” IEEE Journal of Solid State Circuits, vol. 42, no. 6, pp. 1415–1424, 2007

    Article  Google Scholar 

  12. R. Ho et al., “High Speed and Low Energy Capacitively Driven On-Chip Wires,” IEEE Journal of Solid State Circuits, vol. 43, no. 1, pp. 52–60, Jan 2008

    Article  Google Scholar 

  13. H. Ito et al., “A 8-Gbps Low Latency Multi-Drop On-Chip Transmission Line Interconnect with 1.2mW Two-Way Transceivers,” Proceeding of the VLSI Symposium, pp. 136–137, 2007

    Google Scholar 

  14. “International Technology Roadmap for Semiconductors,” Semiconductor Industry Association, 2006

    Google Scholar 

  15. D. Huang et al., “Terahertz CMOS Frequency Generator Using Linear Superposition Technique,” IEEE Journal of Solid State Circuits, vol. 43, no.12, pp. 2730–2738, Dec 2008

    Article  Google Scholar 

  16. M.-C.F. Chang et al., “Advanced RF/Baseband Interconnect Schemes for Inter- and Intra-ULSI communications,” IEEE Transactions on Electron Devices, vol. 52, no. 7, pp. 1271–1285, July 2005

    Article  Google Scholar 

  17. Q. Gu, Z. Xu, J. Ko, M.-C.F. Chang, “Two 10Gb/s/pin Low-Power Interconnect Methods for 3D ICs,” Solid-State Circuits Confe-rence, 2007. ISSCC 2007. Digest of Technical Papers. IEEE International, pp. 448–614, 11–15 Feb. 2007

    Google Scholar 

  18. J. Ko, J. Kim, Z. Xu, Q. Gu, C. Chien, M.F. Chang, “An RF/baseband FDMA-interconnect transceiver for reconfigurable multiple access chip-to-chip communication,” Solid-State Circuits Conference, 2005. Digest of Technical Papers. ISSCC. 2005 IEEE International, pp. 338–602 vol. 1, 10–10 Feb. 2005

    Google Scholar 

  19. M.F. Chang, J. Cong, A. Kaplan, M. Naik, G. Reinman, E. Socher, S.-W. Tam, “CMP Network-on-Chip Overlaid With Multi-Band RF-Interconnect,” IEEE International Conference on High Performance Computer Architecture Sym, pp. 191–202, Feb. 2008

    Google Scholar 

  20. M.F. Chang et al., “RF/Wireless Interconnect for Inter- and Intra-chip Com-munications,” Proceedings of the IEEE, vol. 89, no. 4, pp. 456–466, April 2001

    Article  Google Scholar 

  21. N. Miura, D. Mizoguchi, M. Inoue, K. Niitsu, Y. Nakagawa, M. Tago, M. Fukaishi, T. Sakurai, T. Kuroda, “A 1 Tb/s 3 W Inductive-Coupling Transceiver for 3D-Stacked Inter-Chip Clock and Data Link,” IEEE Journal of Solid-State Circuits, vol. 42, no. 1, pp. 111–122, Jan. 2007

    Google Scholar 

  22. R.T. Chang, N. Talwalkar, C.P. Yue, S.S. Wong, “Near speed-of-light signaling over on-chip electrical interconnects,” IEEE Journal of Solid-State Circuits, vol. 38, no. 5, pp. 834–838, May 2003

    Article  Google Scholar 

  23. B.A. Floyd, C.-M. Hung, K.K. O, “Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters,” IEEE Journal of Solid-State Circuits, vol. 37, no. 5, pp. 543–552, May 2002

    Google Scholar 

  24. S.-W. Tam et al., “Simultaneous Sub-harmonic Injection-Locked mm-Wave Frequency Generators for Multi-band Communications in CMOS,” IEEE Radio Frequency Integrated Circuits Symposium, pp. 131–134, 2008

    Google Scholar 

  25. S.-W. Tam et al.,  “A Simultaneous Tri-band On-Chip RF-Interconnect for Future Network-on-Chip,” Accepted to be published in VLSI Symposium, 2009

    Google Scholar 

  26. J.A. Burns et al., “A Wafer-Scale 3-D Circuit Integration Technology,” IEEE Transactions on Electron Devices, vol. 53, no. 10, pp. 2507–2516, October 2006

    Article  Google Scholar 

  27. M.F. Chang et al., “Power Reduction of CMP Communication Networks via RF-Interconnects,” Proceedings of the 41st Annual International Sympo-sium on Microarchitecture (MICRO), Lake Como, Italy, pp. 376–387, November 2008

    Google Scholar 

  28. J. Cong et al., “MC-Sim: An Efficient Simulation Tool for MPSoC Designs,” IEEE/ACM International Conference on Computer-Aided Design, pp. 364–371, 2008

    Google Scholar 

  29. J. Rabaey, A. Chandrakasan, B. Nikolic, “Digital Integrated Circuits: A Design Perspective,” 2/e, Prentice Hall, 2003

    Google Scholar 

  30. N. Kirman et al., “Leveraging Optical Technology in Future Bus-based Chip Multiprocessors,” 39th International Symposium on Microarchitecture, pp. 495–503 December 2006

    Google Scholar 

  31. M. Haurylau, G. Chen, H. Chen, J. Zhang, N.A. Nelson, D.H. Al-bonesi, E.G Friedman, P.M. Fauchet, “On-Chip Optical Interconnect Road-map: Challenges and Critical Directions,” IEEE Journal of Selected Topics in Quantum Elec-tronics, vol. 12, no. 6, pp. 1699–1705, Nov. – Dec. 2006

    Google Scholar 

  32. H. Cho, P. Kapur, K. Saraswat, “Power comparison between high-speed electrical and optical interconnects for interchip communication,” Journal of Lightwave Technology, vol. 22, no. 9, pp. 2021–2033, Sep. 2004

    Google Scholar 

  33. L. Schares, et.al., “Terabus: Terabit/Second-Class Card-Level Optical Inter-connect Technologies,” IEEE Jour-nal of Selected Topics in Quantum Electronics, vol. 12, no. 5, pp. 1032–1044, Sept. – Oct. 2006

    Google Scholar 

  34. S.-B. Lee, et.al., “A Scalable Micro Wireless Interconnect Structure for CMPs,” ACM MOBICOM 2009, pp. 217–228, 20–25 September 2009

    Google Scholar 

Download references

Acknowledgements

The authors would like to thank the US DARPA and GSRC for their contract supports and TAPO/IBM for their foundry service.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sai-Wang Tam .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Tam, SW., Socher, E., Chang, MC.F., Cong, J., Reinman, G.D. (2011). RF-Interconnect for Future Network-On-Chip. In: Silvano, C., Lajolo, M., Palermo, G. (eds) Low Power Networks-on-Chip. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-6911-8_10

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6911-8_10

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-6910-1

  • Online ISBN: 978-1-4419-6911-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics