Skip to main content

Yield Estimation by Computing Probabilistic Hypervolumes

  • Chapter
  • First Online:

Part of the book series: Integrated Circuits and Systems ((ICIR))

Abstract

Parameter variations are inevitable in any IC process. Process steps such as oxidation, doping, molecular beam epitaxy, etc., are all fundamentally statistical in nature [1]. Design of functioning circuits and systems has traditionally relied heavily on the presumption that the law of large numbers [2] applies and that statistical averaging predominates over random variations – more precisely, that the statistical distributions of important process, geometrical, environmental, and electrical parameters cluster closely about their means. Unfortunately, with feature sizes having shrunk from 90 to 65 nm recently (with further scaling down to 45 and 32 nm predicted by the ITRS roadmap [3]), this assumption is no longer valid – in spite of efforts to control them [4, 5], large variations in process parameters are the norm today. This problem is most severe for circuits that try to use the minimum transistor size (e.g., memory circuits [6] for which chip area is of high priority). With transistors having become extremely small (e.g.: gates are only 10 molecules thick; minority dopants in the channel number in the 10s of atoms), small absolute variations in previous processes have become large relative ones. Lithography-related variability at nanoscales [5], which affect geometrical parameters such as effective length and width, further compound parameter variation problems.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    For the design centering problem, the parameters to be determined are normally physical parameters, such as transistor width W and length L; For the yield estimation problem, the parameters are “statistical” ones, such as threshold voltage V t and channel length variation ΔL.

  2. 2.

    This is the case when the boundary is defined by one performance constraint. The multiple-constraint case will be discussed in Sect. 6.3.1.

  3. 3.

    There are a few variations of this algorithm, but the basic structures are almost the same.

References

  1. Nassif SR (2001) Modeling and analysis of manufacturing variations. In Proceedings of the IEEE conference on custom integrated circuits, 6–9 May 2001, pp 223–228

    Google Scholar 

  2. Papoulis A (1984) Probability, random variables, and stochastic processes. Mc-Graw Hill, New York

    MATH  Google Scholar 

  3. The International Technology Roadmap for Semiconductors (2008) http://www.itrs.net/

  4. Maly W, Heineken H, Khare J, Nag PK (1996) Design for manufacturability in submicron domain. In Proceedings of the IEEE/ACM international conference on computer-aided design ICCAD-96. Digest of Technical Papers, 10–14 November 1996, pp 690–697

    Google Scholar 

  5. Gupta P, Kahng AB (2003) Manufacturing-aware physical design. In Proceedings of the ICCAD-2003 computer aided design international conference, 9–13 November 2003, pp 681–687

    Google Scholar 

  6. Heald R, Wang P (2004) Variability in sub-100nm sram designs. In Proceedings of the ICCAD-2004 computer aided design IEEE/ACM international conference, 7–11 November 2004, pp 347–352

    Google Scholar 

  7. Rabaey JM, Chandrakasan A, Nikolic B (2003) Digital integrated circuits, 2nd edn. (Printice Hall Electronics and Vlsi Series). Prentice Hall, Englewood Cliffs, NJ, USA

    Google Scholar 

  8. Agarwal K, Nassif S (2007) Characterizing process variation in nanometer cmos. In Proceedings of the 44th ACM/IEEE design automation conference DAC ’07, 4–8 June 2007, pp 396–399

    Google Scholar 

  9. Chenjie Gu, Roychowdhury J (2008) An efficient, fully nonlinear, variability-aware non-monte-carlo yield estimation procedure with applications to sram cells and ring oscillators. In Proceedings of the Asia and South Pacific design automation conference ASPDAC 2008, 21–24 March 2008, pp 754–761

    Google Scholar 

  10. Singhal K, Pinel J (1981) Statistical design centering and tolerancing using parametric sampling. Circuits Syst, IEEE Trans on 28(7):692–702

    Article  Google Scholar 

  11. Wojciechowski JM, Vlach J (1993) Ellipsoidal method for design centering and yield estimation. Comput Aided Des Integr Circuits Syst, IEEE Trans on 12(10):1570–1579

    Article  Google Scholar 

  12. Director S, Hachtel G (1977) The simplicial approximation approach to design centering. Circuits Syst, IEEE Trans on 24(7):363–372

    Article  MathSciNet  MATH  Google Scholar 

  13. Maly W, Director SW (1980) Dimension reduction procedure for the simplicial approximation approach to design centering. IEE Proc G Electronic Circuits Syst 127(6):255–259

    Article  MathSciNet  Google Scholar 

  14. Antreich K, Koblitz R (1982) Design centering by yield prediction. IEEE Trans Circuits and Syst 29(2):88–96

    Article  Google Scholar 

  15. Seifi A, Ponnambalam K, Vlach J (1999) A unified approach to statistical design centering of integrated circuits with correlated parameters. IEEE Trans Circuits Syst I, Fundam Theory Appl 46(1):190–196

    Article  Google Scholar 

  16. Low KK, Director SW (1989) A new methodology for the design centering of ic fabrication processes. In Proceedings of the IEEE International Conference on Computer-Aided Design ICCAD-89. Digest of Technical Papers, 5–9 November 1989, pp 194–197

    Google Scholar 

  17. Polak E, Sangiovanni-Vincentelli A (1979) Theoretical and computational aspects of the optimal design centering, tolerancing, and tuning problem. IEEE Trans Circuits Syst 26(9):795–813

    Article  MathSciNet  MATH  Google Scholar 

  18. Brayton R, Director S, Hachtel G (1980) Yield maximization and worst-case design with arbitrary statistical distributions. Circuits Syst, IEEE Trans, 27(9):756–764

    Article  MathSciNet  MATH  Google Scholar 

  19. Chopra K, Shah S, Srivastava A, Blaauw D, Sylvester D (2005) Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation. In Proceedings of the ICCAD-2005 computer-aided design IEEE/ACM international conference, 6–10 November 2005, pp 1023–1028

    Google Scholar 

  20. Director SW, Feldmann P, Krishna K (1992) Optimization of parametric yield: a tutorial. In Proceedings of the custom integrated circuits conference the IEEE 1992, May 3–6, 1992, pp 3.1.1–3.1.8

    Google Scholar 

  21. Stehr G, Graeb H, Antreich K (2003) Performance trade-off analysis of analog circuits by normal-boundary intersection. In Proceedings of the Design Automation Conference, 2003, 2–6 June 2003, pp 958–963

    Google Scholar 

  22. Toumazou C, Moschytz GS, Gilbert B (eds) (2002) Trade-Offs in analog circuit design: the designer’s companion. Kluwer Academic Publishers, Dordrecht (Hingham, MA)

    Google Scholar 

  23. Eeckelaert T, McConaghy T, Gielen G (2005) Efficient multiobjective synthesis of analog circuits using hierarchical pareto-optimal performance hypersurfaces. In Proceedings of the design, automation and test in Europe, 07–11 March 2005, pp 1070–1075

    Google Scholar 

  24. Mukhopadhyay S, Mahmoodi H, Roy K (2005) Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. Comput -Aided Des Integr Circuits Syst, IEEE Trans 24(12):1859–1880

    Article  Google Scholar 

  25. Swidzinski JF, Chang K (2000) Nonlinear statistical modeling and yield estimation technique for use in Monte Carlo simulations [Microwave Devices and ICs]. Microwave Theory Tech, IEEE Trans 48(12):2316–2324

    Article  Google Scholar 

  26. Liu JS (2002) Monte Carlo strategies in scientific computing. Springer, Berlin

    Google Scholar 

  27. Robert CP, Casella G (2005) Monte Carlo statistical methods (Springer texts in statistics). Springer-Verlag New York, Inc., Secaucus, NJ, USA

    Google Scholar 

  28. Kundert KS (1995) The designer’s guide to spice and spectre. Kluwer Academic Publishers, Dordrecht (Hingham, MA)

    Google Scholar 

  29. Kundert K, White J, Sangiovanni-Vincentelli A (1990) Steady-state methods for simulating analog and microwave circuits. Kluwer Academic Publishers, Dordrecht (Hingham, MA)

    Google Scholar 

  30. Seevinck E, List FJ, Lohstroh J (1987) Static-noise margin analysis of MOS SRAM cells. IEEE J Solid-State Circuits 22(5):748–754

    Article  Google Scholar 

  31. Devgan A, Kashyap C (2003) Block-based static timing analysis with uncertainty. In Proceedings of the ICCAD-2003 computer aided design international conference, 9–13 November 2003, pp 607–614

    Google Scholar 

  32. Chang H, Sapatnekar SS (2003) Statistical timing analysis considering spatial correlations using a single pert-like traversal. In ICCAD ’03: Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design, Washington, DC, USA, November 2003. IEEE Computer Society, p 621

    Google Scholar 

  33. Kanj R, Joshi R, Nassif S (2006) Mixture importance sampling and its application to the analysis of sram designs in the presence of rare failure events. In Proceedings of the 43rd ACM/IEEE design automation conference, 24–28 July 2006, pp 69–72

    Google Scholar 

  34. Hastings WK (1970) Monte carlo sampling methods using markov chains and their applications. Biometrika 57(1):97–109

    Article  MATH  Google Scholar 

  35. Keramat M, Kielbasa R (1997) A study of stratified sampling in variance reduction techniques for parametric yield estimation. In Proceedings of the IEEE international symposium on circuits and systems ISCAS ’97, vol3, 9–12 June 1997, pp 1652–1655

    Google Scholar 

  36. Gallaher LJ (1973) A multidimensional monte carlo quadrature with adaptive stratified sampling. Commun ACM 16(1):49–50

    Article  Google Scholar 

  37. Stein M (1987) Large sample properties of simulations using latin hypercube sampling. Technometrics 29(2):143–151

    Article  MathSciNet  MATH  Google Scholar 

  38. Hammersley JM, Morton KW (1956) A new Monte Carlo technique: antithetic variates. In Proceedings of the Cambridge Philosophical Society, vol52 of Proceedings of the Cambridge Philosophical Society, July 1956, pp 449–475

    Google Scholar 

  39. Director S, Hachtel G (1977) The simplicial approximation approach to design centering. Circuits Syst, IEEE Trans 24(7):363–372

    Article  MathSciNet  MATH  Google Scholar 

  40. Director S, Hachtel G, Vidigal L (1978) Computationally efficient yield estimation procedures based on simplicial approximation. Circuits Syst, IEEE Trans 25(3):121–130

    Article  MathSciNet  MATH  Google Scholar 

  41. Biernacki RM, Bandler JW, Song J, Zhang QJ (1989) Efficient quadratic approximation for statistical design. IEEE Trans Circuit Syst 36(11):1449–1454

    Article  Google Scholar 

  42. Antreich KJ, Graeb HE, Wieser CU (1994) Circuit analysis and optimization driven by worst-case distances. Comput Aided Des Integr Circuits Syst IEEE Trans 13(1):57–71, Jan. 1994.

    Google Scholar 

  43. Heath MT (1996) Scientific computing: an introductory survey. McGraw-Hill Higher Education, New York

    MATH  Google Scholar 

  44. Abdel-Malek HL, Hassan AKSO (1991) The ellipsoidal technique for design centering and region approximation. Comput Aided Des 10(8):1006–1014

    Google Scholar 

  45. Srivastava S, Roychowdhury J (2007) Rapid estimation of the probability of SRAM failure due to MOS threshold variations. In Custom integrated circuits conference, 2007., Proceedings of the IEEE 2007, September 2007

    Google Scholar 

  46. Allgower EL, Georg K (1990) Numerical continuation methods. Springer-Verlag, New York

    Book  MATH  Google Scholar 

  47. Nocedal J, Wright SJ (1999) Numerical optimization. Springer, New York

    Book  MATH  Google Scholar 

  48. Li P (2006) Statistical sampling-based parametric analysis of power grids. Comput -Aided Des Integr Circuits Syst, IEEE Trans 25(12):2852–2867

    Article  Google Scholar 

  49. Chang H, Zolotov V, Narayan S, Visweswariah C (2005) Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions. In Proceedings of the 42nd Design Automation Conference, 2005, 13–17 June 2005, pp 71–76

    Google Scholar 

  50. Michael C, Ismail MI (1993) Statistical modeling for computer-aided design of MOS VLSI circuits. Springer, Berlin

    Book  Google Scholar 

  51. Golub GH, VanLoan CF (1996) Matrix computations (Johns Hopkins Studies in Mathematical Sciences). The Johns Hopkins University Press, Baltimore, MD, USA

    Google Scholar 

  52. Chua LO, Lin P-M (1975) Computer-aided analysis of electronic circuits : algorithms and computational techniques. Prentice-Hall, Englewood Cliffs, NJ

    MATH  Google Scholar 

  53. Gear CW (1971) Numerical initial value problems in ordinary differential equations. Prentice-Hall series in automatic computation. Prentice-Hall, Englewood Cliffs, NJ

    MATH  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chenjie Gu .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer US

About this chapter

Cite this chapter

Gu, C., Roychowdhury, J. (2010). Yield Estimation by Computing Probabilistic Hypervolumes. In: Singhee, A., Rutenbar, R. (eds) Extreme Statistics in Nanoscale Memory Design. Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-6606-3_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6606-3_6

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-6605-6

  • Online ISBN: 978-1-4419-6606-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics