Skip to main content

Inductive Coupled Communications

  • Chapter
  • First Online:

Part of the book series: Integrated Circuits and Systems ((ICIR,volume 0))

Abstract

Inductive coupled communication is a wireless communication technology for three-dimensionally (3D) stacked chips in a package. As discussed in a previous chapter, capacitive coupled communication (see Figure 4.1) utilizes a pair of metal electrodes which forms a capacitive-coupling channel–essentially a capacitor–as a vertical wireless data link between stacked chips. In inductive coupled communication, a pair of metal coils creates an inductive-coupling channel–essentially a transformer–between stacked chips. Both of these are pure digital circuit solutions compatible with a standard CMOS technology. The metal electrodes and/or the metal coils can be fabricated by using IC interconnections.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. D. Mizoguchi, Y.B. Yusof, N. Miura, T. Sakurai, and T. Kuroda, “A 1.2 Gb/s/pin wireless superconnect based on inductive inter-chip signaling (IIS),” Digest of Technical Papers, IEEE International Solid-State Circuits Conference, 2004, pp. 142–143.

    Google Scholar 

  2. N. Miura, D. Mizoguchi, M. Inoue, H. Tsuji, T. Sakurai, T. Kuroda, “A 195 Gb/s 1.2 W 3D-stacked inductive inter-chip wireless superconnect with transmit power control scheme,” Digest of Technical Papers, IEEE International Solid-State Circuits Conference, 2005, pp. 264–265.

    Google Scholar 

  3. N. Miura, D. Mizoguchi, M. Inoue, K. Niitsu, Y. Nakagawa, M. Tago, M. Fukaishi, T. Sakurai, T. Kuroda, “A 1 Tb/s 3W inductive-coupling transceiver for inter-chip clock and data link,” Digest of Technical Papers, IEEE International Solid-State Circuits Conference, 2006, pp. 424–425.

    Google Scholar 

  4. N. Miura, D. Mizoguchi, M. Inoue, K. Niitsu, Y. Nakagawa, M. Tago, M. Fukaishi, T. Sakurai, T. Kuroda, “A 1 Tb/s 3 W inductive-coupling transceiver for 3D-stacked inter-chip clock and data link,” IEEE Journal of Solid-State Circuits, vol. 42, no. 1, 2007, pp. 111–122.

    Article  Google Scholar 

  5. N. Miura, H. Ishikuro, T. Sakurai, T. Kuroda, “A 0.14 pJ/b inductive-coupling inter-chip data transceiver with digitally-controlled precise pulse shaping,” Digest of Technical Papers, IEEE International Solid-State Circuits Conference, 2007, pp. 358–359.

    Google Scholar 

  6. N. Miura, H. Ishikuro, K. Niitsu, T. Sakurai, T. Kuroda, “A 0.14 pJ/b inductive-coupling transceiver with digitally-controlled precise pulse shaping,” IEEE Journal of Solid-State Circuits, vol. 43, no. 1, 2008, pp.285–291.

    Article  Google Scholar 

  7. N. Miura, Y. Kohama, Y. Sugimori, H. Ishikuro, T. Sakurai, T. Kuroda, “An 11 Gb/s inductivecoupling link with burst transmission,” Digest of Technical Papers, IEEE International Solid-State Circuits Conference, 2008, pp. 298–299.

    Google Scholar 

  8. N. Miura, Y. Kohama, Y. Sugimori, H. Ishikuro, T. Sakurai, T. Kuroda, “A high-speed inductive-coupling link with burst transmission,” IEEE Journal of Solid-State Circuits, vol. 44, no. 3, 2009, pp. 947–955.

    Article  Google Scholar 

  9. H. Ishikuro, T. Sugahara, T. Kuroda, “An attachable wireless chip-access interface for arbitrary data rate using pulse-based inductive-coupling through LSI package,” Digest of Technical Papers, IEEE International Solid-State Circuits Conference, 2007, pp. 360–361.

    Google Scholar 

  10. Y. Yuxiang; Y. Yoshida, T. Kuroda, “Non-contact 10% efficient 36 mW power delivery using on-chip inductor in 0.18 µm CMOS,” Digest of Technical Papers, IEEE Asian Solid-State Circuits Conference, 2007, pp. 115–118.

    Google Scholar 

  11. K. Niitsu, Y. Shimazaki, Y. Sugimori, Y. Kohama, K. Kasuga, I. Nonomura, M. Saen, S. Komatsu, K. Osada, N. Irie, T. Hattori, A. Hasegawa, and T. Kuroda, “An inductive-coupling link for 3D integration of a 90nm CMOS processor and a 65nm CMOS SRAM,” Digest of Technical Papers, IEEE International Solid-State Circuits Conference, 2009, pp. 480–481.

    Google Scholar 

  12. R.J. Drost, R.D. Hopkins, R. Ho, I. Sutherland, “Proximity communication,” IEEE Journal of Solid-State Circuits, vol. 39, no. 9, 2004, pp. 1529–1535.

    Article  Google Scholar 

  13. N. Miura, T. Sakurai, T. Kuroda, “Crosstalk countermeasures for high-density inductive-coupling channel array,” IEEE Journal of Solid-State Circuits, vol. 42, no. 2, 2007, pp. 410–421.

    Article  Google Scholar 

  14. Y. Yoshida, N. Miura, T. Kuroda, “A 2 Gb/s bi-directional inter-chip data transceiver with differential inductors for high density inductive channel array,” Digest of Technical Papers, Asian Solid-State Circuits Conference, 2007, pp. 127–130.

    Google Scholar 

  15. N. Miura, D. Mizoguchi, T. Sakurai, T. Kuroda, “Analysis and design of inductive coupling and transceiver circuit for inductive inter-chip wireless superconnect,” IEEE Journal of Solid-State Circuits, vol. 40, no. 4, 2005, pp. 829–837.

    Article  Google Scholar 

  16. K. Niitsu, S. Kawai, N. Miura, H. Ishikuro, T. Kuroda, “A 65 fJ/b inductive-coupling interchip transceiver using charge recycling technique for power-aware 3D system integration,” Digest of Technical Papers, Asian Solid-State Circuits Conference, 2008, pp. 97–100.

    Google Scholar 

  17. Y. Sugimori, Y. Kohama, M. Saito, Y. Yoshida, N. Miura, H. Ishikuro, T. Sakurai and T. Kuroda, “A 2 Gb/s 15 pJ/b/chip inductive-coupling programmable bus for NAND flash memory stacking,” Digest of Technical Papers, IEEE International Solid-State Circuits Conference, 2009, pp. 244–245.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Noriyuki Miura .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Miura, N., Sakurai, T., Kuroda, T. (2010). Inductive Coupled Communications. In: Ho, R., Drost, R. (eds) Coupled Data Communication Techniques for High-Performance and Low-Power Computing. Integrated Circuits and Systems, vol 0. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-6588-2_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6588-2_4

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-6587-5

  • Online ISBN: 978-1-4419-6588-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics