Skip to main content

Introduction

  • Chapter
  • First Online:
Extreme Low-Power Mixed Signal IC Design
  • 1610 Accesses

Abstract

Design flexibility and power consumption in addition to the cost, have always been the most important issues in design of integrated circuits (ICs), and are the main concerns of this research, as well

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. K. Ueno, T. Hirose, T. Asai, and Y. “CMOS smart sensor for monitoring the quality of perishables,” IEEE J. Solid-State Circuits, vol. 42, no. 4, pp. 798–803, Apr. 2007

    Article  Google Scholar 

  2. T.-H. Lin, W. J. Kaiser, and G. J. Pottie, “Integrated low-power communication systems design for wireless sensor networks,” in IEEE Communications Magazine, pp. 142–150, Dec. 2004

    Google Scholar 

  3. D. Suvakovic and C.A.T. Salama, “A low V t CMOS implantation of an LPLV digital filter core for portable audio applications,” in IEEE Transactions on Circuits and Systems-II: Analog and Digital Signal Processing, vol. 47, no. 11, pp. 1297–1300, Nov. 2000

    Article  Google Scholar 

  4. L. S. Wong, and et al., “A very low-power CMOS mixed-signal IC for implantable pacemaker applications,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2446–2456, Dec. 2004

    Article  Google Scholar 

  5. D. Steingart, S. Roundy, P. Wright, and J. W. Evans, “Micropower ma terials development for wireless sensor networks,” MRS Bull., vol. 33, no. 4, pp. 408–409, Apr. 2008

    Google Scholar 

  6. D. Steingart, C. C. Ho, J. Salminen, J. W. Evans, and P. Wright, “Dispenser printing of solid polymer-ionic liquid electrolyte for lithium ion cells,” in IEEE International Conference on Polymers and Adhesives in 139 Microelectronics and Photonics (Polytronics 2007), pp. 261–264, Jan. 2007

    Article  Google Scholar 

  7. E. Vittoz and J. Fellrath, “CMOS analog integrated circuits based on weak inversion operation,” IEEE J. Solid-State Circuits, vol. 12, no. 3, pp. 224–231, Jun. 1977

    Article  Google Scholar 

  8. K. Roy, A. Agrawal, and C. H. Kim, “Circuit techniques for leakage reduction,” in Low-Power Electronics Design, Editor C. Piguet, CRC, 2005

    Google Scholar 

  9. E. Vittoz, “Weak inversion for ultimate low-power logic,” in Low-Power Electronics Design, Editor C. Piguet, CRC, 2005

    Google Scholar 

  10. V. R. von Kaenel, M. D. Pardon, E. Dijkstra, and E. A. Vittoz, “Automatic adjustment of threshold and supply voltage for minimum power consumption in CMOS digital circuits,” IEEE Symp. Low Power Electron., pp. 78–79, Oct. 1994

    Google Scholar 

  11. C. D. Salthouse and R. Sarpeshkar, “A practical micropower programmable bandpass filter for use in bionic eras,” IEEE J. Solid-State Circuits, vol. 38, no. 1, pp. 63–70, Jan. 2003

    Article  Google Scholar 

  12. R. Bagheri, A. Mirzaei, S. Chehrazi, M. Heidari, M. Lee, M. Mikhemar, W. Tang, and A. Abidi, “An 800 MHz to 5 GHz software-defined radio receiver in 90 nm CMOS,” Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp. 1932–1941, Feb. 2006

    Google Scholar 

  13. M. Horowitz, T. Indermaur, and R. Gonzalesz, “Low-power digital design,” IEEE Int. Symp. Low Power Electron. Design, pp. 8–11, Oct. 2004

    Google Scholar 

  14. C. C. Enz and E. A. Vittoz, Charge-based MOS Transistor Modeling, Wiley, 2006

    Google Scholar 

  15. S.-M. Kang and Y. Leblebici, CMOS Digital Integrated Circuits, McGraw-Hill, 2003

    Google Scholar 

  16. S. G. Narendra and A. Chandrakasan, Leakage in Nanometer CMOS Technologies, Springer, 2006

    Google Scholar 

  17. A. Szumanowski and Y. Chang, “Battery management systems based on battery nonlinear dynamics modeling,” IEEE Trans. Vehicular Tech., vol. 57, no. 13, pp. 1425–1432, May 2008

    Article  Google Scholar 

  18. H. J. Bergveld, W. S. Krujt, and P. H. L. Notten, Battery Management Systems - Design by Modeling, Kluwer, 2002

    Google Scholar 

  19. A.-J. Annema, B. Nauta, R. van Langevelde, and H. Tuinhout, “Analog circuits in ultra-deep-submicron CMOS,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 132–143, Jan. 2005

    Article  Google Scholar 

  20. J. M. Musicer and J. Rabaey, “MOS current mode logic for low power, low noise CORDIC computation in mixed-signal environment,” Proc. Int. Symp. Low Power Electron. Dessign (ISLPED), pp.102–107, 2000

    Google Scholar 

  21. P. Heydari and R. Mohanavelu, “Design of ultrahigh-speed low-voltage CMOS CML buffers and latches,” IEEE Tran. Very Large Scale Integration (VLSI) Syst., vol. 12, no. 10, pp. 1081–1093, Oct. 2004

    Article  Google Scholar 

  22. A. Tajalli, E. J. Brauer, Y. Leblebici, and E. Vittoz, “Subthreshold source-coupled logic circuit design for ultra low power applications,” IEEE J. Solid-State Circuits, vol. 43, no. 7, pp. 1699–1710, Jul. 2008

    Article  Google Scholar 

  23. A. Tajalli and A. Adibi, “A 1.5-V supply, video range frequency, Gm-C filter,” Proc. IEEE Symp. Circ. Syst. (ISCAS), vol. 2, pp. 148–151, Geneva, Switzerland, May 2000

    Google Scholar 

  24. B. Pankiewicz, M. Wojcikowski, S. Szczepanski, and Y. Sun, “A field programmable analog array for CMOS continuous-time OTA-C filter applications,” IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 125–136, Feb. 2002

    Article  Google Scholar 

  25. T. Hollman, S. Lindfors, M. Lansirinne, J. Jussila, and K. A. I. Halonen, “A 2.7-V CMOS dual-mode baseband filter for PDC and WCDMA,” IEEE J. Solid-State Circuits, vol. 36, no. 7, pp. 1148–1153, Jul. 2002

    Article  Google Scholar 

  26. R. Gregorian and G. C. Temes, Analog MOS Integrated Circuits for Signal Processing, Wiley, 1986

    Google Scholar 

  27. U.-K. Moon, “CMOS high-frequency switched-capacitor filters for telecommunication applications,” IEEE J. Solid-State Circuits, vol. 35, no. 2, pp. 212–220, Feb. 2000

    Article  Google Scholar 

  28. C. Enz, M. Punzenberger, and D. Python, “Low-voltage log-domain signal processing in CMOS and BiCMOS,” in IEEE Transactions on Circuits and Systems-II: Analog and Digital Signal Processing, vol. 46, no. 3, pp. 279–289, Mar. 1999

    Article  Google Scholar 

  29. Y. Tsividis, “Externally linear, time-invariant systems and their application to companding signal processing,” in IEEE Transactions on Circuits and Systems-II: Analog and Digital Signal Processing, vol. 44, no. 2, pp. 65–85, Feb. 1997

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Armin Tajalli .

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Tajalli, A., Leblebici, Y. (2010). Introduction. In: Extreme Low-Power Mixed Signal IC Design. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-6478-6_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6478-6_1

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-6477-9

  • Online ISBN: 978-1-4419-6478-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics