Skip to main content

Low Power Graphics Processors

  • Chapter
  • First Online:
Power-efficient System Design

Abstract

So far we studied power optimizations at various levels of design abstraction such as the circuit level, architectural level, all the way up to the server and data center level. In this chapter, we present a case study that combines several of the aforementioned techniques in a reasonably complex system: a power efficient Graphics Processor.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Http://www.anandtech.com/

  2. Barrio, V.M.D., González, C., Roca, J., Fernández, A., Espasa, R.: A single (unified) shader gpu microarchitecture for embedded systems. In: HiPEAC, pp. 286–301 (2005)

    Google Scholar 

  3. Chen, C.H., Lee, C.Y.: Two-level hierarchical Z-buffer for 3D graphics hardware. In: Circuits and Systems, 2002. ISCAS 2002. IEEE International Symposium on, vol. 2, pp. II–253–II–256 vol.2 (2002)

    Google Scholar 

  4. Choi, K., Soma, R., Pedram, M.: Off-chip latency-driven dynamic voltage and frequency scaling for an mpeg decoding. In: DAC ’04: Proceedings of the 41st annual Design Automation Conference, pp. 544–549. ACM, New York, NY, USA (2004). DOI http://doi.acm.org/10.1145/996566.996718

    Chapter  Google Scholar 

  5. Chung, K., Yu, C.H., Kim, L.S.: Vertex cache of programmable geometry processor for mobile multimedia application. In: Circuits and Systems, 2006. ISCAS 2006. Proceedings. 2006 IEEE International Symposium on, pp. 4 pp.– (2006). DOI 10.1109/ISCAS.2006.1692983

    Google Scholar 

  6. Flautner, K., Mudge, T.: Vertigo: automatic performance-setting for linux. SIGOPS Oper. Syst. Rev. 36(SI), 105–116 (2002). DOI http://doi.acm.org/10.1145/844128.844139

    Article  Google Scholar 

  7. Greene, N., Kass, M., Miller, G.: Hierarchical Z-buffer visibility. In: SIGGRAPH ’93: Proceedings of the 20th annual conference on Computer graphics and interactive techniques, pp. 231–238. ACM, New York, NY, USA (1993). DOI http://doi.acm.org/10.1145/166117.166147

    Chapter  Google Scholar 

  8. Gu, Y., Chakraborty, S.: Control theory-based dvs for interactive 3D games. In: DAC ’08: Proceedings of the 45th annual Design Automation Conference, pp. 740–745. ACM, New York, NY, USA (2008). DOI http://doi.acm.org/10.1145/1391469.1391659

    Chapter  Google Scholar 

  9. Gu, Y., Chakraborty, S.: Power management of interactive 3D games using frame structures. In: VLSI Design, 2008. VLSID 2008. 21st International Conference on, pp. 679–684 (2008). DOI 10.1109/VLSI. 2008.102

    Google Scholar 

  10. Gu, Y., Chakraborty, S., Ooi, W.T.: Games are up for dvfs. In: Design Automation Conference, 2006 43rd ACM/IEEE, pp. 598–603 (2006). DOI 10.1109/DAC.2006.229295

    Google Scholar 

  11. Hakura, Z.S., Gupta, A.: The design and analysis of a cache architecture for texture mapping. SIGARCH Comput. Archit. News 25(2), 108–120 (1997). DOI http://doi.acm.org/10.1145/384286.264152

    Article  Google Scholar 

  12. Hasselgren, J., Akenine-Möller, T.: Efficient depth buffer compression. In: GH ’06: Proceedings of the 21st ACM SIGGRAPH/EUROGRAPHICS symposium on Graphics hardware, pp. 103–110. ACM, New York, NY, USA (2006). DOI http://doi.acm.org/10.1145/1283900.1283917

    Chapter  Google Scholar 

  13. Hoppe, H.: Optimization of mesh locality for transparent vertex caching. In: SIGGRAPH ’99: Proceedings of the 26th annual conference on Computer graphics and interactive techniques, pp. 269–276. ACM Press/Addison-Wesley Publishing Co., New York, NY, USA (1999). DOI http://doi.acm.org/10.1145/311535.311565

    Chapter  Google Scholar 

  14. Iourcha, K.I., Nayak, K.S., Hong, Z.: System and method for fixed-rate block-based image compression with inferred pixel values. Patent 5956431 (1999). Http://www.freepatentsonline.com/5956431.html

  15. Lu, Z., Hein, J., Humphrey, M., Stan, M., Lach, J., Skadron, K.: Control-theoretic dynamic frequency and voltage scaling for multimedia workloads. In: CASES ’02: Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems, pp. 156–163. ACM, New York, NY, USA (2002). DOI http://doi.acm.org/10.1145/581630.581654

    Chapter  Google Scholar 

  16. McCormack, J., McNamara, R.: Tiled polygon traversal using half-plane edge functions. In: HWWS ’00: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS workshop on Graphics hardware, pp. 15–21. ACM, New York, NY, USA (2000). DOI http://doi.acm.org/10.1145/346876.346882

    Chapter  Google Scholar 

  17. Mochocki, B.C., Lahiri, K., Cadambi, S., Hu, X.S.: Signature-based workload estimation for mobile 3D graphics. In: DAC ’06: Proceedings of the 43rd annual Design Automation Conference, pp. 592–597. ACM, New York, NY, USA (2006). DOI http://doi.acm.org/10.1145/1146909.1147062

    Chapter  Google Scholar 

  18. Möller, T., Haines, E.: Real-time rendering. A. K. Peters, Ltd., Natick, MA, USA (1999)

    Google Scholar 

  19. Park, W.C., Lee, K.W., Kim, I.S., Han, T.D., Yang, S.B.: A mid-texturing pixel rasterization pipeline architecture for 3D rendering processors. In: Application-Specific Systems, Architectures and Processors, 2002. Proceedings. The IEEE International Conference on, pp. 173–182 (2002). DOI 10.1109/ASAP.2002.1030717

    Google Scholar 

  20. Rasmusson, J., Strom, J., Akenine-Moller, T.: Error-bounded lossy compression of floating-point color buffers using quadtree decomposition. Vis. Comput. 26(1), 17–30 (2009). DOI http://dx.doi.org/10.1007/s00371-009-0372-y

    Article  Google Scholar 

  21. Silpa, B., Kumar S.S, V., Panda, P.R.: Adaptive partitioning of vertex shader for low power high performance geometry engine. In: Advances in Visual Computing, Lecture Notes in Computer Science, vol. 5875/2009, pp. 111–124. Springer Berlin / Heidelberg (2009). DOI 10.1007/978-3-642-10331-5∖{ _}11

    Google Scholar 

  22. Silpa, B.V.N., Patney, A., Krishna, T., Panda, P.R., Visweswaran, G.S.: Texture filter memory: a power-efficient and scalable texture memory architecture for mobile graphics processors. In: ICCAD ’08: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, pp. 559–564. IEEE Press, Piscataway, NJ, USA (2008)

    Google Scholar 

  23. Tan, Y., Malani, P., Qiu, Q., Wu, Q.: Workload prediction and dynamic voltage scaling for mpeg decoding. In: ASP-DAC ’06: Proceedings of the 2006 Asia and South Pacific Design Automation Conference, pp. 911–916. IEEE Press, Piscataway, NJ, USA (2006). DOI http://doi.acm.org/10.1145/1118299.1118505

  24. Wang, P.H., Chen, Y.M., Yang, C.L., Cheng, Y.J.: A predictive shutdown technique for gpu shader processors. IEEE Computer Architecture Letters 8, 9–12 (2009). DOI http://doi.ieeecomputersociety.org/10.1109/L-CA.2009.1

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Preeti Ranjan Panda .

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Panda, P.R., Shrivastava, A., Silpa, B.V.N., Gummidipudi, K. (2010). Low Power Graphics Processors. In: Power-efficient System Design. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-6388-8_7

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6388-8_7

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-6387-1

  • Online ISBN: 978-1-4419-6388-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics