Skip to main content

Memory Modeling with CACTI

  • Chapter
  • First Online:

Abstract

Modern systems consist of a hierarchy of memory arrays made of different storage elements such as SRAM, DRAM, FLASH, etc. The organization of a memory array significantly impacts its delay, power, bandwidth, and area parameters which in turn impacts overall system performance and cost. When evaluating a new architecture or exploring new memory designs, it is crucial to get an early estimate of memory access time and power for given input parameters. For studies related to memory hierarchy design, it is also necessary to optimize memory arrays so that they meet specific delay, area, and power constraints. This chapter presents an integrated analytical tool called CACTI that models power, delay, area, and cycle time (bandwidth) of all the components in a modern memory system. As all parameters are calculated based on the same technology and circuit parameters, they are mutually consistent, and the analytical models employed in CACTI have been verified to be within 12% of high fidelity SPICE models. This chapter explains the fundamental building blocks of memory arrays along with their analytical delay, power, and area models and details tradeoffs that exist when designing them.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Chen, X., Peh, L.: “Leakage power modeling and optimization in interconnection networks.” In: Proceedings of ISLPED, pp. 90–95, Seoul, Korea, August (2003).

    Google Scholar 

  2. De, V., Borkar, S.: Technology and design challenges for low power and high performance. In: Proceedings of ISLPED, pp. 163–168, San Diego, CA, USA, August (1999).

    Google Scholar 

  3. Dong, X., Muralimanohar, N., Jouppi, N., Kaufmann, R., Xie, Y.: Leveraging 3D PCRAM Technologies to Reduce Checkpoint Overhead for Future Exascale Systems. In: Proceedings of SC (2009).

    Google Scholar 

  4. Freitas, R.F., Wilcke, W.W.: Storage-class memory: The next storage system technology. IBM J Res Devel 52(4/5):439–447, July (2008).

    Article  Google Scholar 

  5. Fusion-io: ioDrive. 2010 http://www.fusionio.com/

  6. Horowitz, M.: Timing models for MOS circuits (1999).

    Google Scholar 

  7. ITRS: “International Technology Roadmap for Semiconductors, 2007 Edition.” http://www.itrs.net/Links/2007ITRS/Home2007.htm (2007).

  8. JEDEC: JESD79: Double Data Rate (DDR) SDRAM Specification. JEDEC Solid State Technology Association, Virginia, USA (2003).

    Google Scholar 

  9. Kim, C., Burger, D., Keckler, S.: An adaptive, non-uniform cache structure for wire-dominated on-chip caches. In: Proceedings of ASPLOS, pp. 211–222, San Jose, CA, USA, October (2002).

    Google Scholar 

  10. Lee, B.C., Ipek, E., Mutlu, O., Burger, D.: Architecting phase change memory as a scalable DRAM alternative. In: Proceedings of ISCA-36, pp. 2–3, Austin, TX, USA, June (2009).

    Google Scholar 

  11. Muralimanohar, N., Balasubramonian, R.: Interconnect design considerations for large NUCA caches. In: Proceedings of ISCA, pp. 369–380, San Diego, CA, USA, June (2007).

    Google Scholar 

  12. Muralimanohar, N., Balasubramonian, R., Jouppi, N.: Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0. In: Proceedings of MICRO, pp. 3–14, Chicago, IL, USA, December (2007).

    Google Scholar 

  13. Narendra, S., Borkar, S., De, V., Antoniadis, D., Chandrakasan, A.: Scaling of stack effect and its application for leakage reduction. In: Proceedings of ISLPED, pp. 195–200, Huntington Beach, CA, USA, August (2001).

    Google Scholar 

  14. Peh, L.S., Dally, W.: A delay model and speculative architecture for pipelined routers. In: Proceedings of HPCA, pp. 255–266, Nuevo Leone, Mexico, January (2001).

    Google Scholar 

  15. Qureshi, M.K., Srinivasan, V., Rivers, J.A.: Scalable high performance main memory system using phase-change memory technology. In: Proceedings of ISCA-36, pp. 24–33, Austin, TX, USA, June (2009).

    Google Scholar 

  16. Raoux, S., Burr, G.W., Breitwisch, M.J., et al.: Phase-change random access memory: A scalable technology. IBM J Res Devel 52(4/5) pp. 465–479, July (2008).

    Article  Google Scholar 

  17. Strukov, D.B., Snider, G.S., Stewart, D.R., Stanley, R.: The missing memristor found. Nature (2008).

    Google Scholar 

  18. Sutherland, I.E., Sproull, R.F., Harris, D.: Logical effort: Designing fast CMOS circuits. In: Morgan Kaufmann (1999).

    Google Scholar 

  19. Yoshida, H., De, K., Boppana, V.: Accurate pre-layout estimation of standard cell characteristics. In: Proceedings of DAC, pp. 208–211, San Diego, CA, USA, June (2004).

    Google Scholar 

  20. Zhou, P., Zhao, B., Yang, J., Zhang, Y.: A Durable and energy efficient main memory using phase change memory technology. In: Proceedings of ISCA-36, pp. 14–23, Austin, TX, USA, June (2009).

    Google Scholar 

Download references

Acknowledgments

The authors would like to thank all the researchers that have contributed to CACTI over the past 15 years, including Steve Wilton, Glenn Reinman, Premkishore Shivakumar, David Tarjan, and Shyamkumar Thoziyoor.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Norman P. Jouppi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+business Media, LLC

About this chapter

Cite this chapter

Muralimanohar, N., Ahn, J.H., Jouppi, N.P. (2010). Memory Modeling with CACTI. In: Leupers, R., Temam, O. (eds) Processor and System-on-Chip Simulation. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-6175-4_14

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6175-4_14

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-6174-7

  • Online ISBN: 978-1-4419-6175-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics