Skip to main content

Three-Dimensional Network-on-Chip Architecture

  • Chapter
  • First Online:
Three Dimensional Integrated Circuit Design

Part of the book series: Integrated Circuits and Systems ((ICIR))

Abstract

On-chip interconnects are predicted to be a fundamental issue in designing multi-core chip multiprocessors (CMPs) and system-on-chip (SoC) architectures with numerous homogeneous and heterogeneous cores and functional blocks. To mitigate the interconnect crisis, one promising option is network-on-chip (NoC), where a general purpose on-chip interconnection network replaces the traditional design-specific global on-chip wiring by using switching fabrics or routers to connect IP cores or processing elements. Such packet-based communication networks have been gaining wide acceptance due to their scalability and have been proposed for future CMPs and SoC design. In this chapter, we study the combination of both three-dimensional integrated circuits and NoCs, since both are proposed as solutions to mitigate the interconnect scaling challenges. This chapter will start with a brief introduction on network-on-chip architecture and then discuss design space exploration for various network topologies in 3D NoC design, as well as different techniques on 3D on-chip router design. Finally, it describes a design example of using 3D NoC with memory stacked on multi-core CMPs.

This chapter includes portions reprinted with permission from the following publications: (a) F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan, and M. Kandemir, Design and management of 3D chip multiprocessors using network-in-memory, Proceedings of International Symposium on Computer Architecture (2006). Copyright 2006 IEEE. (b) J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, N. Vijaykrishnan, and C. Das, A novel dimensionally-decomposed router for on-chip communication in 3D architectures, Proceedings of International Symposium on Computer Architecture (2007). Copyright 2007 IEEE. (c) P. Dongkook, S. Eachempati, R. Das, A. K. Mishra, Y. Xie, N. Vijaykrishnan, and C. R. Das, Mira: A multi-layered on-chip interconnect router architecture, Proceedings of International Symposium on Computer Architecture (2008). Copyright 2008 IEEE.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. A. Agarwal, L. Bao, J. Brown, B. Edwards, M. Mattina, C. Miao, C. Ramey, and D. Wentzlaff. Tile processor: Embedded multicore for networking and multimedia. In Proceedings of Hot Chips Symposium, 2007.

    Google Scholar 

  2. J. Balfour and W. J. Dally. Design tradeoffs for tiled CMP on-chip networks. In Proceedings of International conference on Supercomputing, pp. 187–198, 2006.

    Google Scholar 

  3. B. M. Beckmann and D. A. Wood. Managing wire delay in large chip-multiprocessor caches. In Proceedings of International Symposium on Microarchitecture, pp. 319–330, 2004.

    Google Scholar 

  4. G. De Micheli and L. Benini. Networks on Chips. Morgan Kaupmann, San Francisco, CA, 2006.

    Google Scholar 

  5. P. Dongkook, S. Eachempati, R. Das, A. K. Mishra, Y. Xie, N. Vijaykrishnan, and C. R. Das. Mira: A multi-layered on-chip interconnect router architecture. In Proceedings of International Symposium on Computer Architecture, pp. 251–261, 2008.

    Google Scholar 

  6. M. Gschwind, P. Hofstee, B. Flachs, M. Hopkins, Y. Watanabe, and T. Yamazaki. A compiler enabling and exploiting the cell broadband processor architecture. IBM Systems Journal Special Issue on Online Game Technology, 45(1), 2006.

    Google Scholar 

  7. R. Ho, K. Mai, and M. Horowitz. The future of wires. Proceedings of the IEEE, 89(4):490–504, April 2001.

    Article  Google Scholar 

  8. A. Jantsch and H. Tenhunen. Networks on Chip. Kluwer Academic Publishers, Boston, 2003.

    Google Scholar 

  9. J.Kim, J. Balfour, and W. J. Dally. Flattened butterfly topology for onchip networks. In Proceedings of International Symposium on Microarchitecture, pp. 172–182, 2007.

    Google Scholar 

  10. J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, N. Vijaykrishnan, and C. Das. A novel dimensionally-decomposed router for on-chip communication in 3D architectures. In Proceedings of International Symposium on Computer Architecture, pp. 138–149, 2007.

    Google Scholar 

  11. J. Kim, C. Nicopoulos, D. Park, V. Narayanan, M. S. Yousif, and C. Das. A gracefully degrading and energy-efficient modular router architecture for on-chip networks. In Proceedings of International Symposium on Computer Architecture, pp. 4–15, 2006.

    Google Scholar 

  12. J. Kim, D. Park, C. Nicopoulos, N. Vijaykrishnan, and C. Das. Design and analysis of an NoC architecture from performance, reliability and energy perspective. In Proceedings of Symposium on Architecture for Networking and Communications Systems, pp. 173–182, October 2005.

    Google Scholar 

  13. P. Kongetira, K. Aingaran, and K. Olukotun. Niagara: A 32-way multithreaded SPARC processor. IEEE MICRO, 25(2):21–29, 2005.

    Article  Google Scholar 

  14. F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan, and M. Kandemir. Design and management of 3D chip multiprocessors using network-in-memory. In Proceedings of International Symposium on Computer Architecture, pp. 130–141, 2006.

    Google Scholar 

  15. G. H. Loh. 3D-stacked memory architectures for multi-core processors. In Proceedings of International Symposium on Computer Architecture, pp. 453–464, 2008.

    Google Scholar 

  16. I. Loi, F. Angiolini, and L. Benini. Developing mesochronous synchronizers to enable 3D NoCs. In Proceedings of Design, Automation and Test in Europe Conference, pp. 1414–1419, 2008.

    Google Scholar 

  17. I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini. A low-overhead fault tolerance scheme for tsv-based 3D network on chip links. In Proceedings of International Conference on Computer-Aided Design, pp. 598–602, 2008.

    Google Scholar 

  18. P. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, and G. Hallberg. Simics: A full system simulation platform. IEEE Computer, 35(2):50–58, February 2002.

    Google Scholar 

  19. R. Marculescu, U. Y. Ogras, L. S. Peh, N. E. Jerger, and Y. Hoskote. Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(1):3–21, January 2009.

    Article  Google Scholar 

  20. R. Mullins, A. West, and S. Moore. Low-latency virtual-channel routers for on-chip networks. In Proceedings of International Symposium on Computer Architecture, p. 188, June 2004.

    Google Scholar 

  21. V. F. Pavlidis and E. G. Friedman. 3-D topologies for networks-on-chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15(10):1081–1090, 2007.

    Article  Google Scholar 

  22. L. Peh and W. Dally. A delay model and speculative architecture for pipelined routers. In Proceedings of International Symposium on High Performance Computer Architecture, pp. 255–266, January 2001.

    Google Scholar 

  23. A. Pullini, F. Angiolini, S. Murali, D. Atienza, G. De Micheli, and L. Benini. Bringing NoCs to 65 nm. IEEE Micro, 27(5):75–85, 2007.

    Article  Google Scholar 

  24. T. Richardson, C. Nicopoulos, D. Park, V. Narayanan, Y. Xie, C. Das, and V. Degalahal. A hybrid SoC interconnect with dynamic TDMA-based transaction-less buses and on-chip networks. In Proceedings of International Symposium on VLSI Design, pp. 657–664, 2006.

    Google Scholar 

  25. S. Rusu, S. Tam, H. Muljono, J. Stinson, D. Ayers, J. Chang, R. Varada, M. Ratta, and S. Kottapalli. A 45 nm 8-core enterprise xeo processor. In Proceedings of International Solid-State Circuits Conference, February 2009.

    Google Scholar 

  26. J. Shen and M. Lipasti. Modern Processor Design: Fundamentals of Superscalar Processors. McGraw-Hill, Boston, 2005.

    Google Scholar 

  27. P. Shivakumar and N. Jouppi. Cacti 3.0: An integrated cache timing, power and area model. In Technical Report, Compaq Computer Corporation, August 2001.

    Google Scholar 

  28. Standard Performance Evaluation Corporation. SPEC OMP. http://www.spec.org.

  29. G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen. A novel architecture of the 3D stacked mram l2 cache for CMPs. In Proceedings of International Symposium on High Performance Computer Architecture, pp. 239–249, 2009.

    Google Scholar 

  30. B. Vaidyanathan, W. Hung, F. Wang, Y. Xie, N. Vijaykrishnan, and M. Irwin. Architecting microprocessor components in 3D design space. In Proceedings of International Conference on VLSI Design, pp. 103–108, 2007.

    Google Scholar 

  31. S. R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar. An 80-tile sub-100-w teraFLOPS processor in 65-nm cmos. IEEE Journal of Solid-State Circuits, 43(1):29–41, 2008.

    Article  Google Scholar 

  32. Y. Xie, G. H. Loh, B. Black, and K. Bernstein. Design space exploration for 3D architectures. ACM Journal of Emerging Technology of Computer Systems, 2(2):65–103, 2006.

    Article  Google Scholar 

  33. Y. Xu, Y. Du, B. Zhao, X. Zhou, Y. Zhang, and J. Yang. A low-radix and low-diameter 3D interconnection network design. In Proceedings of International Symoposium on High Performance Computer Architecture, pp. 30–41, 2009.

    Google Scholar 

  34. S. Yan and B. Lin. Design of application-specific 3D networks-on-chip architectures. In Proceedings of International Conference of Computer Design, pp. 142–149, 2008.

    Google Scholar 

Download references

Acknowledgments

Much of the work and ideas presented in this chapter have evolved over several years of working with our colleagues and graduate students, in particular Professor Mahmut Kandemir, Dr. Mazin Yousif from Intel, Chrysostomos Nicopoulos, Thomas Richardson, Feihui Li, Jongman Kim, Dongkook Park, Reetuparna Das, Asit Mishra, and Soumya Eachempati. The research was supported in part by NSF grants, EIA-0202007, CCF-0429631, CNS-0509251, CCF-0702617, CAREER 0093085, and a grant from DARPA/MARCO GSRC.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yuan Xie .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Xie, Y., Vijaykrishnan, N., Das, C. (2010). Three-Dimensional Network-on-Chip Architecture. In: Xie, Y., Cong, J., Sapatnekar, S. (eds) Three Dimensional Integrated Circuit Design. Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0784-4_8

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0784-4_8

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0783-7

  • Online ISBN: 978-1-4419-0784-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics