Skip to main content

Exploiting Inductance

  • Chapter
  • First Online:
Clocking in Modern VLSI Systems

Part of the book series: Integrated Circuits and Systems ((ICIR))

  • 2278 Accesses

Abstract

In this chapter, the benefits of using inductance for generating and distributing clocks are explored. Starting with the implementation and modeling of spiral inductors and transmission lines the focus, subsequently, shifts into LC and transmission-line oscillators for generating two or more phases with low phase noise. Finally, resonant clock distribution methods including rotary traveling-wave oscillator arrays, standing wave oscillators and grids, and inductor-based clock grids are presented.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. N. Nguyen and R. Meyer, “Si IC-compatible inductors and LC passive filters,” IEEE J. Solid-State Circuits, vol. 25, no. 4, pp. 1028–1031, 1990.

    Article  Google Scholar 

  2. N. Nguyen and R. Meyer, “A 1.8-GHz monolithic LC voltage-controlled oscillator,” IEEE J. Solid-State Circuits, vol. 27, no. 3, pp. 444–450, 1992.

    Article  Google Scholar 

  3. P. Restle, T. McNamara, D. Webber, P. Camporese, K. Eng, K. Jenkins, D. Allen, M. Rohn, M. Quaranta, D. Boerstler, C. Alpert, C. Carter, R. Bailey, J. Petrovick, B. Krauter, and B. McCredie, “A clock distribution network for microprocessors,” IEEE J. Solid-State Circuits, vol. 36, no. 5, pp. 792–799, 2001.

    Article  Google Scholar 

  4. T. Lee, The design of CMOS radio-frequency integrated circuits, 2nd Edition. Cambridge, United Kingdom: Cambridge University Press, 2004.

    Google Scholar 

  5. H. Greenhouse, “Design of planar rectangular microelectronic inductors,” IEEE Trans Parts, Hybrids, and Packaging, vol. 10, no. 2, pp. 101–109, June 1974.

    Article  Google Scholar 

  6. S. Jenei, B. Nauwelaers, and S. Decoutere, “Physics-based closed-form inductance expression for compact modeling of integrated spiral inductors,” IEEE J. Solid-State Circuits, vol. 37, no. 1, pp. 77–80, Jan. 2002.

    Google Scholar 

  7. S. Mohan, M. del Mar Hershenson, S. Boyd, and T. Lee, “Simple accurate expressions for planar spiral inductances,” IEEE J. Solid-State Circuits, vol. 34, no. 10, pp. 1419–1424, Oct. 1999.

    Google Scholar 

  8. K. Ashby, I. Koullias, W. Finley, J. Bastek, and S. Moinian, “High Q inductors for wireless applications in a complementary silicon bipolar process,” IEEE J. Solid-State Circuits, vol. 31, no. 1, pp. 4–9, Jan. 1996.

    Google Scholar 

  9. C. Yue, C. Ryu, J. Lau, T. Lee, and S. Wong, “A physical model for planar spiral inductors on silicon,” in Proceedings of International Electron Devices Meeting, 8–11 Dec. 1996, pp. 155–158.

    Google Scholar 

  10. Y. Cao, R. Groves, X. Huang, N. Zamdmer, J.-O. Plouchart, R. Wachnik, T.-J. King, and C. Hu, “Frequency-independent equivalent-circuit model for on-chip spiral inductors,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 419–426, March 2003.

    Article  Google Scholar 

  11. “Sonnet user’s guide,” Sonnet High Frequency Electromagnetic Software.

    Google Scholar 

  12. A. Niknejad, “ASITIC.” [Online]. Available: http://rfic.eecs.berkley.edu/ niknejad/asitic.html

  13. J. Long and M. Copeland, “The modeling, characterization, and design of monolithic inductors for silicon RF IC’s,” IEEE J. Solid-State Circuits, vol. 32, no. 3, pp. 357–369, March 1997.

    Article  Google Scholar 

  14. C. Yue and S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF ICs,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998.

    Article  Google Scholar 

  15. O. Heaviside, “Electromagnetic induction and its propagation,” The Electrician, vol. 19, no. 40, pp. 79–81, 1887.

    Google Scholar 

  16. M. Pupin, “Art of reducing attenuation of electrical apparatus therefore,” U.S. Patent no. 652,230, June 1900.

    Google Scholar 

  17. H. Chen, R. Shi, C.-K. Cheng, and D. Harris, “Surfliner: a distortionless electrical signaling scheme for speed of light on-chip communications,” in Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors ICCD 2005, 2–5 Oct. 2005, pp. 497–502.

    Google Scholar 

  18. N. Nedovic, N. Tzartzanis, H. Tamura, F. Rotella, M. Wiklund, Y. Mizutani, Y. Okaniwa, T. Kuroda, J. Ogawa, and W. Walker, “A 40-to-44 Gb/s 3x oversampling CMOS CDR/1:16 DEMUX,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2726–2735, Dec. 2007.

    Google Scholar 

  19. M. Thomson, P. Restle, and N. James, “A 5GHz duty-cycle correcting clock distribution network for the POWER6 microprocessor,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2006), 2006, pp. 384–385.

    Google Scholar 

  20. B. Kleveland, C. Diaz, D. Vook, L. Madden, T. Lee, and S. Wong, “Exploiting CMOS reverse interconnect scaling in multigigahertz amplifier and oscillator design,” IEEE J. Solid-State Circuits, vol. 36, no. 10, pp. 1480–1488, Oct. 2001.

    Google Scholar 

  21. N. Tzartzanis and W. Walker, “A reversible poly-phase distributed VCO,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2006), 2006, pp. 596–597.

    Google Scholar 

  22. N. Nguyen and R. Meyer, “Start-up and frequency stability in high-frequency oscillators,” IEEE J. Solid-State Circuits, vol. 27, no. 5, pp. 810–820, May 1992.

    Article  Google Scholar 

  23. P. Andreani and S. Mattisson, “A 1.8-GHz CMOS VCO tuned by an accumulation-mode MOS varactor,” in Proceedings of the ISCAS 2000 Geneva Circuits and Systems the 2000 IEEE International Symposium, vol. 1, 28–31 May 2000, pp. 315–318.

    Google Scholar 

  24. A.-S. Porret, T. Melly, C. Enz, and E. Vittoz, “Design of high-Q varactors for low-power wireless applications using a standard CMOS process,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 337–345, March 2000.

    Article  Google Scholar 

  25. G. Cusmai, M. Repossi, G. Albasini, A. Mazzanti, and F. Svelto, “A magnetically tuned quadrature oscillator,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2870–2877, Dec. 2007.

    Google Scholar 

  26. D. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, pp. 329–330, Feb. 1966.

    Google Scholar 

  27. A. Hajimiri and T. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998.

    Google Scholar 

  28. T. Lee and A. Hajimiri, “Oscillator phase noise: A tutorial,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 326–336, March 2000.

    Article  Google Scholar 

  29. A. Demir, A. Mehrotra, and J. Roychowdhury, “Phase noise in oscillators: A unifying theory and numerical methods for characterization,” IEEE Trans. Circuits Syst. I, vol. 47, no. 5, pp. 655–674, May 2000.

    Article  Google Scholar 

  30. J. Rael and A. Abidi, “Physical processes of phase noise in differential LC oscillators,” in Proc. IEEE Custom Integrated Circuits Conference (CICC 2000), 21–24 May 2000, pp. 569–572.

    Google Scholar 

  31. E. Hegazi, H. Sjoland, and A. Abidi, “A filtering technique to lower LC oscillator phase noise,” IEEE J. Solid-State Circuits, vol. 36, no. 12, pp. 1921–1930, Dec. 2001.

    Google Scholar 

  32. A. Hajimiri and T. Lee, “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 717–724, May 1999.

    Article  Google Scholar 

  33. D. Ham and A. Hajimiri, “Concepts and methods in optimization of integrated LC VCOs,” IEEE J. Solid-State Circuits, vol. 36, no. 6, pp. 896–909, June 2001.

    Article  Google Scholar 

  34. P. Andreani and H. Sjoland, “Tail current noise suppression in RF CMOS VCOs,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 342–348, March 2002.

    Article  Google Scholar 

  35. H. Nosaka, E. Sano, K. Ishii, M. Ida, K. Kurishima, S. Yamahata, T. Shibata, H. Fukuyama, M. Yoneyama, T. Enoki, and M. Muraguchi, “A 39-to-45-Gbit/s multi-data-rate clock and data recovery circuit with a robust lock detector,” IEEE J. Solid-State Circuits, vol. 39, no. 8, pp. 1361–1365, Aug. 2004.

    Google Scholar 

  36. T.-H. Lin and W. Kaiser, “A 900-MHz 2.5-mA CMOS frequency synthesizer with an automatic SC tuning loop,” IEEE J. Solid-State Circuits, vol. 36, no. 3, pp. 424–431, March 2001.

    Article  Google Scholar 

  37. R. Staszewski, D. Leipold, C.-M. Hung, and P. Balsara, “A first digitally-controlled oscillator in a deep-submicron CMOS process for multi-GHz wireless applications,” in Proceedings of the IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, 8–10 June 2003, pp. 81–84.

    Article  Google Scholar 

  38. J. Hauenschild, C. Dorschky, T. Winkler von Mohrenfels, and R. Seitz, “A plastic packaged 10 Gb/s BiCMOS clock and data recovering 1:4-demultiplexer with external VCO,” IEEE J. Solid-State Circuits, vol. 31, no. 12, pp. 2056–2059, Dec. 1996.

    Google Scholar 

  39. M. Rau, T. Oberst, R. Lares, A. Rothermel, R. Schweer, and N. Menoux, “Clock/data recovery PLL using half-frequency clock,” IEEE J. Solid-State Circuits, vol. 32, no. 7, pp. 1156–1159, July 1997.

    Article  Google Scholar 

  40. A. Rofougaran, G. Chang, J. Rael, J.-C. Chang, M. Rofougaran, P. Chang, M. Djafari, M.-K. Ku, E. Roth, A. Abidi, and H. Samueli, “A single-chip 900-MHz spread-spectrum wireless transceiver in 1-mm CMOS – Part I: Architecture and transmitter design,” IEEE J. Solid-State Circuits, vol. 33, no. 4, pp. 515–534, April 1998.

    Article  Google Scholar 

  41. A. Rofougaran, J. Rael, M. Rofougaran, and A. Abidi, “A 900 MHz CMOS LC-oscillator with quadrature outputs,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 1996), 1996, pp. 392–393.

    Google Scholar 

  42. P. Andreani, A. Bonfanti, L. Romano, and C. Samori, “Analysis and design of a 1.8-GHz CMOS LC quadrature VCO,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1737–1747, Dec. 2002.

    Google Scholar 

  43. P. Andreani and X. Wang, “On the phase-noise and phase-error performances of multiphase LC CMOS VCOs,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1883–1893, Nov. 2004.

    Google Scholar 

  44. P. Vancorenland and M. Steyaert, “A 1.57-GHz fully integrated very low-phase-noise quadrature VCO,” IEEE J. Solid-State Circuits, vol. 37, no. 5, pp. 653–656, 2002.

    Article  Google Scholar 

  45. J. van der Tang, P. van de Ven, D. Kasperkovitz, and A. van Roermund, “Analysis and design of an optimally coupled 5-GHz quadrature LC oscillator,” IEEE J. Solid-State Circuits, vol. 37, no. 5, pp. 657–661, 2002.

    Article  Google Scholar 

  46. A. Mirzaei, M. Heidari, R. Bagheri, S. Chehrazi, and A. Abidi, “The quadrature LC oscillator: A complete portrait based on injection locking,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1916–1932, 2007.

    Article  Google Scholar 

  47. C.-Y. Wu and H.-S. Kao, “A 1.8 GHz CMOS quadrature voltage-controlled oscillator (VCO) using the constant-current LC ring oscillator structure,” in Proceedings of the IEEE International Symposium on Circuits and Systems ISCAS ’98, vol. 4, pp. 378–381, 1998.

    Google Scholar 

  48. M. Tiebout, “Low-power low-phase-noise differentially tuned quadrature VCO design in standard CMOS,” IEEE J. Solid-State Circuits, vol. 36, no. 7, pp. 1018–1024, 2001.

    Article  Google Scholar 

  49. B. Kleveland, C. Diaz, D. Vock, L. Madden, T. Lee, and S. Wong, “Monolithic CMOS distributed amplifier and oscillator,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 1999), 1999, pp. 70–71.

    Google Scholar 

  50. H. Wu and A. Hajimiri, “Silicon-based distributed voltage-controlled oscillators,” IEEE J. Solid-State Circuits, vol. 36, no. 3, pp. 493–502, 2001.

    Article  Google Scholar 

  51. Z. Skvor, S. Saunders, and C. Aitchison, “Novel decade electronically tunable microwave oscillator based on the distributed amplifier,” Electron. Lett., vol. 28, no. 17, pp. 1647–1648, 1992.

    Article  Google Scholar 

  52. L. Divina and Z. Skvor, “Experimental verification of a distributed amplifier oscillator,” in Proceedings of the 25th European Microwave Conference, vol. 2, 1995, pp. 1163–1167.

    Google Scholar 

  53. L. Divina and Z. Skvor, “The distributed oscillator at 4 GHz,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2240–2243, 1998.

    Article  Google Scholar 

  54. J. Lee and B. Razavi, “A 40-Gb/s clock and data recovery circuit in 0.18-μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2181–2190, 2003.

    Article  Google Scholar 

  55. J. Wood, S. Lipa, P. Franzon, and M. Steer, “Multi-gigahertz low-power low-skew rotary clock scheme,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2001), 2001, pp. 400–401, 470.

    Google Scholar 

  56. J. Wood, T. Edwards, and S. Lipa, “Rotary traveling-wave oscillator arrays: A new clock technology,” IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1654–1665, 2001.

    Article  Google Scholar 

  57. W. Athas, L. Svensson, J. Koller, N. Tzartzanis, and E. Ying-Chin Chou, “Low-power digital systems based on adiabatic-switching principles,” IEEE Trans. VLSI Syst., vol. 2, no. 4, pp. 398–407, Dec. 1994.

    Google Scholar 

  58. J. Wood, T. Edwards, and C. Ziesler, “A 3.5GHz rotary-traveling-wave-oscillator clocked dynamic logic family in 0.25 μm CMOS,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2006), 2006, pp. 390–391.

    Google Scholar 

  59. F. O’Mahony, C. Yue, M. Horowitz, and S. Wong, “A 10-GHz global clock distribution using coupled standing-wave oscillators,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2003), 2003, pp. 428–429.

    Google Scholar 

  60. F. O’Mahony, C. Yue, M. Horowitz, and S. Wong, “A 10-GHz global clock distribution using coupled standing-wave oscillators,” IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1813–1820, Nov. 2003.

    Google Scholar 

  61. M. Sasaki, M. Shiozaki, A. Mori, A. Iwata, and H. Ikeda, “17 GHz fine grid clock distribution with uniform-amplitude standing-wave oscillator,” in Proceedings of Digest of Technical Papers VLSI Circuits 2006 Symposium, 2006, pp. 124–125.

    Google Scholar 

  62. M. Sasaki, M. Shiozaki, A. Mori, A. Iwata, and H. Ikeda, “12GHz low-area-overhead standing-wave clock distribution with inductively-loaded and coupled technique,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2007), 2007, pp. 180–181.

    Google Scholar 

  63. W. Andress and D. Ham, “Standing wave oscillators utilizing wave-adaptive tapered transmission lines,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 638–651, Mar. 2005.

    Google Scholar 

  64. D. Ham and W. Andress, “A circular standing wave oscillator,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2004), 2004, pp. 380–381.

    Google Scholar 

  65. W. Athas, L. Svensson, and N. Tzartzanis, “A resonant signal driver for two-phase, almost-non-overlapping clocks,” in Proceedings of the IEEE International Symposium on Circuits and Systems ISCAS ’96, ‘Connecting the World’, vol. 4, 12–15 May 1996, pp. 129–132.

    Article  Google Scholar 

  66. W. Athas, N. Tzartzanis, L. Svensson, and L. Peterson, “A low-power microprocessor based on resonant energy,” IEEE J. Solid-State Circuits, vol. 32, no. 11, pp. 1693–1701, Nov. 1997.

    Google Scholar 

  67. N. Tzartzanis and W. Athas, “Clock-powered logic for a 50 MHz low-power RISC datapath,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 1997), 1997, pp. 338–339, 482.

    Google Scholar 

  68. W. Athas, N. Tzartzanis, W. Mao, L. Peterson, R. Lal, K. Chong, J.-S. Moon, L. Svensson, and M. Bolotski, “The design and implementation of a low-power clock-powered microprocessor,” IEEE J. Solid-State Circuits, vol. 35, no. 11, pp. 1561–1570, Nov. 2000.

    Google Scholar 

  69. V. S. Sathe, J.-Y. Chueh, and M. C. Papaefthymiou, “Energy-efficient GHz-class charge-recovery logic,” IEEE J. Solid-State Circuits, vol. 42, no. 1, pp. 38–47, Jan. 2007.

    Google Scholar 

  70. S. Chan, K. Shepard, and P. Restle, “Uniform-phase uniform-amplitude resonant-load global clock distributions,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 102–109, Jan. 2005.

    Google Scholar 

  71. S. Chan, P. Restle, T. Bucelot, S. Weitzel, J. Keaty, J. Liberty, B. Flachs, R. Volant, P. Kapusta, and J. Zimmerman, “A resonant global clock distribution for the cell broadband-engineTMprocessor,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2008), 2008, pp. 512–513.

    Google Scholar 

  72. S. Chan, K. Shepard, and P. Restle, “Distributed differential oscillators for global clock networks,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2083–2094, Sept. 2006.

    Google Scholar 

  73. V. Sathe, J. Kao, and M. Papaefthymiou, “Resonant-clock latch-based design,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 864–873, April 2008.

    Article  Google Scholar 

  74. L. M. Lee and C.-K. K. Yang, “An adaptive low-jitter LC-based clock distribution,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2007), 2007, pp. 182–183.

    Google Scholar 

  75. F. O’Mahony, M. Mansuri, B. Casper, J. Jaussi, and R. Mooney, “A low-jitter PLL and repeaterless clock distribution network for a 20Gb/s link,” in Proceedings of the Digest of Technical Papers VLSI Circuits 2006 Symposium, 2006, pp. 29–30.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer-Verlag US

About this chapter

Cite this chapter

Tzartzanis, N. (2009). Exploiting Inductance. In: Xanthopoulos, T. (eds) Clocking in Modern VLSI Systems. Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0261-0_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0261-0_4

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0260-3

  • Online ISBN: 978-1-4419-0261-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics