Skip to main content

Voltage Selection for Time-Constrained Multiprocessor Systems

  • Chapter
Designing Embedded Processors

Dynamic voltage selection and adaptive body biasing have been shown to reduce dynamic and leakage power consumption effectively. In this chapter we present an energy optimization approach for time constrained applications implemented on multiprocessor systems. We start by introducing a genetic algorithm that performs the mapping and scheduling of the application on the target hardware architecture. Then, we discuss in detail several voltage selection algorithms, explicitly taking into account the transition overheads implied by changing voltage levels.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 119.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 159.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  • Adam, T., Chandy, K., and Dickson, J. (1974). A Comparison of List Schedul-ing for Parallel Processing Systems. Journal of Communications of the ACM, 17(12):685-690.

    Article  MATH  Google Scholar 

  • AMD (2000). Mobile AMD Athlon4, Processor Model 6 CPGA Data Sheet. Publication No. 24319 Rev E.

    Google Scholar 

  • Andrei, A., Schmitz, M., Eles, P., Peng, Z., and Al-Hashimi, B. (2004a). Overhead-Conscious Voltage Selection for Dynamic and Leakage Power Reduction of Time-Constraint Systems. In Proceedings of the Design, Automation and Test in Europe Conference (DATE04), pages 518-523.

    Google Scholar 

  • Andrei, A., Schmitz, M., Eles, P., Peng, Z., and Hashimi, B. Al (2004b). Simul-taneous Communication and Processor Voltage Scaling for Dynamic and Leakage Energy Reduction in Time-Constrained Systems. In ICCAD, pages 362-369.

    Google Scholar 

  • Andrei, A., Schmitz, M.T., Eles, P., and Peng, Z. (2003). Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems. Technical report, Linkoping University, Department of Computer and Information Science, Sweden.

    Google Scholar 

  • Bjørn-Jørgensen, Peter and Madsen, Jan (1997). Critical Path Driven Cosyn-thesis for Heterogeneous Target Architectures. In Proceedings of the Inter-national Workshop on Hardware/Software Codesign, pages 15-19.

    Google Scholar 

  • Borkar, S. (1999). Design Challenges of Technology Scaling. IEEE Micro, pages 23-29.

    Google Scholar 

  • Chandrakasan, A.P. and Brodersen, R.W. (1995). Low Power Digital CMOS Design. Kluwer Academic Publisher.

    Google Scholar 

  • De, P., Dunne, E., Ghosh, J., and Wells, C. (1997). Complexity of the Discrete Time-Cost Tradeoff Problem for Project Networks. Operations Research, 45 (2):302-306.

    Article  MATH  MathSciNet  Google Scholar 

  • Dick, R. and Jha, N.K. (1999). MOCSYN: Multiobjective Core-Based Single-Chip System Synthesis. In Proceedings of the Design, Automation and Test in Europe Conference (DATE99), pages 263-270.

    Google Scholar 

  • Dick, Robert P. and Jha, Niraj K. (1998). MOGAC: A Multiobjective Genetic Algorithm for Hardware-Software Co-Synthesis of Distributed Embedded Systems. IEEE Transactions on Computer-Aided Design, 17(10):920-935.

    Article  Google Scholar 

  • Duarte, D., Vijaykrishnan, N., Irwin, M., Kim, H., and McFarland, G. (2002). Impact of Scaling on the Effectiveness of Dynamic Power Reduction. In Proceedings of the ICCD, pages 382-387.

    Google Scholar 

  • Garey, M.R. and Johnson, D.S. (1979). Computers and Intractability: A Guide to the Theory of NP-Completeness. W.H. Freeman and Company.

    Google Scholar 

  • Gruian, F. and Kuchcinski, K. (2001). LEneS: Task Scheduling for Low-Energy Systems Using Variable Supply Voltage Processors. In Proceedings of the ASP-DAC’01, pages 449-455.

    Google Scholar 

  • Hong, Inki, Qu, Gang, Potkonjak, Miodrag, and Srivastava, Mani B. (1998). Synthesis Techniques for Low-Power Hard Real-Time Systems on Variable Voltage Processors. In Proceedings of the Real-Time Systems Symposium, pages 178-187.

    Google Scholar 

  • Intel (2000). Intel XScale Core, Developer’s Manual.

    Google Scholar 

  • Ishihara, Tohru and Yasuura, Hiroto (1998). Voltage Scheduling Problem for Dynamically Variable Voltage Processors. In Proceedings of the Interna-tional Symposium on Low Power Electronics and Design (ISLPED’98), pages 197-202.

    Google Scholar 

  • Kim, C. and Roy, K. (2002). Dynamic Vth Scaling Scheme for Active Leakage Power Reduction. In Proceedings of the Design, Automation and Test in Europe Conference (DATE02), pages 163-167.

    Google Scholar 

  • Kwok, Yu-Kwong and Ahmad, Ishfaq (1999). Static Scheduling Algorithms for Allocating Directed Task Graphs to Multiprocessors. ACM Computing Surveys, 31(4):406-471.

    Article  Google Scholar 

  • Kwon, W. and Kim, T. (2005). Optimal Voltage Allocation Techniques for Dynamically Variable Voltage Processors. ACM Transactions on Embedded Computing Systems, 4(1):211-230.

    Article  Google Scholar 

  • Luo, J. and Jha, N. (2003). Power-profile Driven Variable Voltage Scaling for Heterogeneous Distributed Real-Time Embedded Systems. In Proceedings of the VLSI’03, pages 369-375.

    Google Scholar 

  • Martin, S., Flautner, K., Mudge, T., and Blaauw, D. (2002). Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Lower Power Microprocessors under Dynamic Workloads. In Proceedings of the ICCAD02, pages 721-725.

    Google Scholar 

  • Mochocki, B., Hu, X., and Quan, G. (2002). A Realistic Variable Volt-age Scheduling Model for Real-Time Applications. In Proceedings of the ICCAD-02, pages 726-731.

    Google Scholar 

  • Nesterov, Y. and Nemirovskii, A. (1994). Interior-Point Polynomial Algorithms in Convex Programming. Studies in Applied Mathematics.

    Google Scholar 

  • Oh, Hyunok and Ha, Soonhoi (1996). A Static Scheduling Heuristic for Hete-rogeneous Processors. In 2nd International EuroPar Conference Vol. II.

    Google Scholar 

  • Prakash, S. and Parker, A. (1992). SOS: Synthesis of Application-Specific Heterogeneous Multiprocessor Systems. Journal of Parallel & Distributed Computing, pages 338-351.

    Google Scholar 

  • Schmitz, M. T., Al-Hashimi, B., and Eles, P. (2004). System-Level Design Techniques for Energy-Efficient Embedded Systems. Kluwer Academic Publisher.

    Google Scholar 

  • Schmitz, Marcus T. and Al-Hashimi, Bashir M. (2001). Considering Power Variations of DVS Processing Elements for Energy Minimisation in Dis-tributed Systems. In International Symposium System Synthesis (ISSS’01), pages 250-255.

    Google Scholar 

  • Sih, Gilbert C. and Lee, Edward A. (1993). A Compile-Time Scheduling Heuristic for Interconnection-Constrained Heterogeneous Processor Archi-tectures. IEEE Transactions on Parallel and Distributed Systems, 4(2):175-187.

    Article  Google Scholar 

  • Williams, H. P. (1999). Model Building in Mathematical Programming.

    Google Scholar 

  • Wiley. Wu, M. and Gajski, D. (1990). Hypertool: A Programming Aid for Message-Passing Systems. IEEE Transactions on Parallel and Distributed Systems, 1 (3):330-343.

    Google Scholar 

  • Yan, L., Luo, J., and Jha, N. (2005). Joint Dynamic Voltage Scaling and Adpative Body Biasing for Heterogeneous Distributed Real-Time Embed-ded Systems,. IEEE Transactions on Computer-Aided Design, 27(7):1030-1041.

    Article  Google Scholar 

  • Yao, F., Demers, A., and Shenker, S. (1995). A Scheduling Model for Reduced CPU Energy. IEEE FOCS.

    Google Scholar 

  • Zhang, Y., Hu, X., and Chen, D. (2002). Task Scheduling and Voltage Selection for Energy Minimization. In Proceedings of the IEEE DAC’02, pages 183-188.

    Google Scholar 

  • Zhang, Y., Hu, X., and Chen, D. (2003). Energy Minimization of Real-Time Tasks on Variable Voltage Processors with Transition Energy Overhead. In Proceedings ASP-DAC’03, pages 65-70.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer

About this chapter

Cite this chapter

Andrei, A., Eles, P., Peng, Z., Schmitz, M., Al-Hashimi, B.M. (2007). Voltage Selection for Time-Constrained Multiprocessor Systems. In: Henkel, J., Parameswaran, S. (eds) Designing Embedded Processors. Springer, Dordrecht. https://doi.org/10.1007/978-1-4020-5869-1_12

Download citation

  • DOI: https://doi.org/10.1007/978-1-4020-5869-1_12

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-1-4020-5868-4

  • Online ISBN: 978-1-4020-5869-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics