Skip to main content

RTL Coding Guidelines

  • Chapter
Digital VLSI Systems Design
  • 4769 Accesses

We have so far seen how to model combinational and sequential circuits in Verilog, which are vital ingredients in any digital VLSI system design. The ultimate aim of the designer is to finally map the design on an FPGA device or implement as an ASIC, and this is possible only if you follow certain guidelines. A popular guideline is known as the RTL Coding Guideline, where RTL stands for Register Transfer Level, signifying that data transfers in a system take place via registers [17]. It is basically adhering to synchronous design practices, and it signifies the regulation of data flow, and how the data is processed. Since we deal with a syndigital chronous design, it should run smoothly through Simulation, Synthesis, and finally on place and route tools, which we will learn in subsequent chapters. In order to do this, we have to isolate the asynchronous and sequential circuits. The combinational circuits fall under the category of asynchronous circuits. We have actually followed the RTL coding style in our designs dealt in an earlier chapter. Therefore, the codes developed there will run smoothly in all the tools mentioned above.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 189.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 249.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer

About this chapter

Cite this chapter

(2007). RTL Coding Guidelines. In: Digital VLSI Systems Design. Springer, Dordrecht. https://doi.org/10.1007/978-1-4020-5829-5_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4020-5829-5_5

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-1-4020-5828-8

  • Online ISBN: 978-1-4020-5829-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics