Skip to main content

Diffusion Barriers for Ultra-Large-Scale Integrated Copper Metallization

  • Chapter
  • First Online:
Book cover Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications

Abstract

The microelectronics industry strives to continuously improve the speed and functionality of its integrated circuits. A significant contribution toward achieving this goal is the miniaturization of the semiconductor devices, in particular the reduction of the length of the gate of the metal-oxide-semiconductor (MOS) transistor. The typical size of this feature defines a term called “technology generation.” The device miniaturization also requires to reduce the lateral dimensions of the conducting interconnects and via-contacts (termed “Vias”).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. SIA International Technology Roadmap for Semiconductors (Semiconductor Industry Association, San Jose, CA (2001)

    Google Scholar 

  2. Murarka, S. P.: Multilevel interconnections for ULSI and GSI era. Mater. Sci. Eng. R19(3-4), 87 (1997)

    CAS  Google Scholar 

  3. Bohr, M. T.: Interconnect Scaling – The Real Limiter to High Performance ULSI 1995 International Electron Devices Meeting Technical Digest, 241 (1995)

    Google Scholar 

  4. Murarka, S. P.; Verner, I. V.; and Gutmann, R. J.: Copper – Fundamental Mechanisms for Microelectronic Applications. John Wiley and Sons, New York. (2000)

    Google Scholar 

  5. Smithells, C. J. (Ed.): Metals Reference Book. 5th edition, Butterworth, London & Boston (1976)

    Google Scholar 

  6. McBrayer, J. D.; Swanson, R. M.; and Sigmon, T. W.: Diffusion of metals in silicon dioxide. J. Electrochem. Soc. 133(6), 1242 (1986)

    Article  CAS  Google Scholar 

  7. Atkinson, A.: Diffusion Phenomena in Thin Films and Microelectronic Materials. Gupta, D. and Ho, P. S. (Eds.) Noyes Publication, Berkshire (1988)

    Google Scholar 

  8. Wendt, H.; Cerva, H.; Lehmann, V.; and Pamler, W.: Impact of copper contamination on the quality of silicon oxides. J. Appl. Phys. 65(6), 2402 (1989)

    Article  CAS  Google Scholar 

  9. Gupta, D.: Diffusion in several materials relevant to Cu interconnection technology. Mater. Chem. Phys. 41(3), 199 (1995)

    Article  CAS  Google Scholar 

  10. Diamand, Y. S.; Dedhia, A.; Hoffstetter, D.; and Oldham, W. G.: Copper transport in thermal SiO2. J. Electrochem. Soc. 140(8), 2427 (1993)

    Article  Google Scholar 

  11. Raghavan, G.; Chiang, C.; Anders, P. B.; Tzeng, S.-M.; Villasol, R.; Bai, G.; Bohr, M.; and Fraser, D. B.: Diffusion of copper through dielectric films under bias temperature stress. Thin Solid Films 262(1–2), 168 (1995)

    Article  CAS  Google Scholar 

  12. Loke, A. L. S.; Ryu, C.; Yue, C. P.; Cho, J. S. H.; and Wong, S. S.: Kinetics of copper drift in PECVD dielectrics. IEEE Electron Device Lett. 17, 549 (1996)

    Article  CAS  Google Scholar 

  13. Willis, B. G.; and Lang, D. V.: Oxidation mechanism of ionic transport of copper in SiO2 dielectrics. Thin Solid Films 467(1–2), 284 (2004)

    Google Scholar 

  14. Kohn, A.; Lipp, E.; Eizenberg, M.; and Shacham, Y.: Copper-related degradation of SiO2 in metal–oxide–semiconductor capacitors subjected to bias thermal stress: Leakage of the minority charge carriers in the inversion layer. Appl. Phys. Lett. 85(4), 627 (2004)

    Article  CAS  Google Scholar 

  15. Lipp, E.; Kohn, A.; and Eizenberg, M.: Lifetime-limited current in Cu-gate metal-oxide-semiconductor capacitors subjected to bias thermal stress. J. Appl. Phys. 99(3), 034504 (2006)

    Article  Google Scholar 

  16. Hu, Y. Z.; Sharangpani, R.; and Tay, S. -P.: In situ rapid thermal oxidation and reduction of copper thin films and their applications in ultralarge scale integration. J. Electrochem. Soc. 148(12), G669 (2001)

    Article  CAS  Google Scholar 

  17. Weber, E. R.: Properties of Silicon. Section 14.15 Solubility of Copper in Silicon. (INSPEC, the Institution of Electrical Engineers) (1988)

    Google Scholar 

  18. Istratov, A. A.; Flink, C.; and Weber, E. R.: Impact of the unique physical properties of copper in silicon on characterization of copper diffusion barriers. Phys. Stat. Sol. (b) 222, 261 (2000)

    Article  CAS  Google Scholar 

  19. Reiss, H. C.; Fuller, C. S.; and Morin, F. J.: Chemical interactions among defects in germanium and silicon. Bell Syst. Tech. J. 35, 535 (1956)

    Google Scholar 

  20. Frank, F. C.; and Turnbull, D.: Mechanism of diffusion of copper in germanium. Phys. Rev. 104(3), 617 (1956)

    Article  CAS  Google Scholar 

  21. Istratov, A. A.; Flink, C.; Hieslmair, H.; Weber, E. R.; and Heiser, T.: Intrinsic diffusion coefficient of interstitial copper in silicon. Phys. Rev. Lett. 81(6), 1243 (1998)

    Article  CAS  Google Scholar 

  22. Istratov, A. A.; and Weber, E. R.: Electrical properties and recombination activity of copper, nickel and cobalt in silicon. Appl. Phys. A. 66, 123 (1998)

    Article  CAS  Google Scholar 

  23. Istratov, A. A.; Hedemann, H.; Seibt, M.; Vyvenko, O. F.; Schröter, W.; Heiser, T.; Flink, C.; Hieslmair, H.; and Weber, E. R.: Electrical and recombination properties of copper-silicide precipitates in silicon. J. Electrochem. Soc. 145(11), 3889 (1998)

    Article  CAS  Google Scholar 

  24. Istratov, A. A.; Flink, C.; Hieslmair, H.; McHugo, S. A.; and Weber, E. R.: Diffusion, solubility and gettering of copper in silicon. Mater. Sci. Eng. B 72(2), 99 (2000)

    Article  Google Scholar 

  25. Flink, C.; Feick, H.; McHugo, S. A.; Mohammed, A.; Seifert, W.; Hieslmair, H.; Heiser, T.; Istratov, A. A.; and Weber, E. R.: Out-diffusion and precipitation of copper in silicon: an electrostatic model. Phys. Rev. Lett. 85(23), 4900 (2000)

    Article  CAS  Google Scholar 

  26. Broniatowski, A.: Multicarrier trapping by copper microprecipitates in silicon. Phys. Rev. Lett. 62(26), 3074 (1989)

    Article  CAS  Google Scholar 

  27. Stolt, L.; Charai, A.; D’Heurle, F. M.; Fryer, P. M.; and Harper, J. M. E.: Formation of Cu3Si and its catalytic effect on silicon oxidation at room temperature. J. Vac. Sci. Technol. A 9(3), 1501 (1991)

    Article  CAS  Google Scholar 

  28. Hong, S. Q.; Comrie, C. M.; Russel, S. W.; and Mayer, J. W.: Phase formation in Cu-Si and Cu-Ge. J. Appl. Phys. 70(7), 3655 (1991)

    Article  CAS  Google Scholar 

  29. Li, J.; Diamand, Y. S.; and Mayer, J. W.: Copper deposition and thermal stability issues in copper-based metallization for ULSI Technology. Mater. Sci. Rep. 9, 1 (1992)

    Article  Google Scholar 

  30. Stanley, W.: Silicon Processing for the VLSI Era, Volume 4: Deep Submicron Process Technology, Lattice Press, Sunset Beach, CA (2002)

    Google Scholar 

  31. Nicolet, M.-A.: Diffusion barriers in thin films. Thin Solid Films 52(3), 415 (1978)

    Article  CAS  Google Scholar 

  32. SIA International Technology Roadmap for Semiconductors, Semiconductor Industry Association, San Jose, CA (2005)

    Google Scholar 

  33. Wang, S. Q.: Barriers against copper diffusion into silicon and drift through silicon dioxide. MRS Bull. 19(8), 30 (1994)

    CAS  Google Scholar 

  34. Ganesan, P. G.; and Eizenberg, M.: Diffusion barriers for copper metallization. Internal report (2002)

    Google Scholar 

  35. Chopra, K. L.: Thin film phenomena, McGraw-Hill, New York (1969)

    Google Scholar 

  36. Kaur, I.; Mishin, Y.; and Gust, W.: Fundamentals of Grain and Interphase Boundary Diffusion, Wiley, Chichester, UK (2000)

    Google Scholar 

  37. Nishizawa, T.; and Ishida K.: The Co-Cu (Cobalt-Copper) system. Bull. Alloy Phase Diagrams 5, 161 (1984)

    Article  CAS  Google Scholar 

  38. O’Sullivan, E. J. A.; Schrott, G.; Paunovic, M.; Sambucetti, C. J.; Marino, J. R.; Baily, P. J.; Kaja, S.; and Semkow, K.W.: Electrolessly deposited diffusion barriers for microelectronics. IBM. J. Res. Develop. 42, 607 (1998)

    Article  Google Scholar 

  39. Ono, H. ; Nakano, T.; and Ohta, T.: Diffusion barrier effects of transition metals for Cu/M/Si multilayers (M=Cr, Ti, Nb, Mo, Ta, W). Appl. Phys. Lett. 64(12), 1511 (1994)

    Article  CAS  Google Scholar 

  40. Edelstein, D.; Uzoh, C.; Cabral Jr.C.; DeHaven, P.; Buchwalter, P.; Simon, A.; Cooney III, E.; Malhotra, S.; Klaus, D.; Rathore, H.; Ararwala, B.; and Nguyen, D.: An optimal liner for copper damascene interconnects. Proc. Adv. Metal. Confer., 541 (2001)

    Google Scholar 

  41. Min, K. H.; Chun, K. C.; and Kim, K. B.: Comparative study of tantalum and tantalum nitrides (Ta2N and TaN) as a diffusion barrier for Cu metallization. J. Vac. Sci. Tech. B 14(5), 3263 (1996)

    Article  CAS  Google Scholar 

  42. Chang, K.-M.; Yeh, T.-H.; Deng, I.-C.; and Shih, C.-W.: Amorphous like chemical vapor deposited tungsten diffusion barrier for copper metallization and effects of nitrogen addition. J. Appl. Phys. 82(3), 1469 (1997)

    Article  CAS  Google Scholar 

  43. Nam, K. T.; Datta, A.; Kim, S.-H.; and Kim, K.-B.: Improved diffusion barrier by stuffing the grain boundaries of TiN with a thin Al interlayer for Cu metallization. Appl. Phys. Lett. 79(16), 2549 (2001)

    Article  CAS  Google Scholar 

  44. Kohn, A.; Eizenberg, M.; and Diamand, Y. S.: Copper grain boundary diffusion in electroless deposited cobalt based films and its influence on diffusion barrier integrity for copper metallization. J. Appl. Phys. 94(5), 3015 (2003)

    Article  CAS  Google Scholar 

  45. Kohn, A.; Eizenberg, M.; and Diamand, Y. S.: Structure of electroless deposited Co0.9W0.02P0.08 thin films and their evolution with thermal annealing. J. Appl. Phys. 94(6), 3810 (2003).

    Article  CAS  Google Scholar 

  46. Kohn, A.; Eizenberg, M.; Diamand, Y. S.; and Sverdlov, Y.: Characterization of electroless deposited Co (W, P) thin films for encapsulation of copper metallization. Mat. Sci. Eng. A 302(1), 18 (2001)

    Article  Google Scholar 

  47. Kohn, A.; Eizenberg, M.; and Y Diamand, Y. S.: Improved diffusion barriers for copper metallization obtained by passivation of grain boundaries in electroless deposited cobalt-based films. J. Appl. Phys. 92(9), 5508 (2002)

    Article  CAS  Google Scholar 

  48. Sinke, W.; Frijlink, G. P.A.; and Saris, F. W.: Oxygen in titanium nitride diffusion barriers. Appl. Phys. Lett. 47(5), 471 (1985)

    Article  CAS  Google Scholar 

  49. Danek, M.; Liao, Tseng, M. J.; Littau, K.; Saigal, D.; Zhang, H.; Mosely, R.; and Eizenberg, M.: Resistivity reduction and chemical stabilization of organometallic chemical vapor deposited titanium nitride by nitrogen rf plasma. Appl. Phys. Lett. 68(7), 1015 (1996)

    Article  CAS  Google Scholar 

  50. Kröger, R.; Eizenberg, M.; Marcadal, C.; and Chen, L.: Plasma induced microstructural, compositional, and resistivity changes in ultrathin chemical vapor deposited titanium nitride films. J. Appl. Phys. 91(8), 5149 (2002)

    Article  Google Scholar 

  51. Park, K. C.; and Kim, K. B.: Effect of annealing of titanium nitride on the diffusion barrier property in Cu metallization. J. Electrochem. Soc. 142(9), 3109 (1995)

    Article  CAS  Google Scholar 

  52. Marcadal, C.; Eizenberg, M.; Yoon, A.; and Chen, L.: Metallorganic chemical vapor deposited TiN barrier enhancement with SiH4 treatment. J. Electrochem. Soc. 149(1), C, 52 (2002)

    Article  Google Scholar 

  53. Joseph, S.; Eizenberg, M.; Marcadal, C.; and Chen, L.: TiSiN films produced by chemical vapor deposition as diffusion barriers for Cu metallization. J. Vac. Sci. Technol. B 20, 1471 (2002)

    Article  CAS  Google Scholar 

  54. Clevenger, L. A.; Bojarczuk, N. A.; Holloway, K.; Harper, J. M. E.; Cabral, C. Jr.; Schad, R. G.; Cardone, F.; and Stolt, L.: Comparison of high vacuum and ultra-high-vacuum tantalum diffusion barrier performance against copper penetration. J. Appl. Phys. 73(1), 300 (1993)

    Article  CAS  Google Scholar 

  55. Castoldi, L.; Visalli, G.; Morin, S.; Ferrari, P.; Alberici, S.; Ottaviani, G.; Corni, F.; Tonini, R.; Nobili, C.; and Bersani, M.: Copper–titanium thin film interaction. Microelec. Eng. 76, 153 (2004)

    Article  CAS  Google Scholar 

  56. Ding, P. J.; Lanford, W. A.; Hymes, S.; and Murarka, S. P.: Oxidation resistant high conductivity copper films. Appl. Phys. Lett. 64(21), 2897 (1994)

    Article  CAS  Google Scholar 

  57. Frederick, M. J.; Goswami, R.; and Ramanath, G.: Sequence of Mg segregation, grain growth, and interfacial MgO formation in Cu–Mg alloy films on SiO2 during vacuum annealing. J. Appl. Phys. 93(10), 5966 (2003)

    Article  CAS  Google Scholar 

  58. Koike, J.; and Wada, M.: Self-forming diffusion barrier layer in Cu–Mn alloy metallization. Appl. Phys. Lett. 87(4), 041911 (2005)

    Article  Google Scholar 

  59. Liu, C. J.; and Chen, J. S.: Low leakage current Cu(Ti)/SiO2 interconnection scheme with a self-formed TiOx diffusion barrier. Appl. Phys. Lett. 80, 2678 (2002)

    Article  CAS  Google Scholar 

  60. Wang, H.; Tiwari, A.; Zhang, X.; Kvit, A.; and Narayan, J.: Copper diffusion characteristics in single-crystal and polycrystalline TaN. Appl. Phys. Lett. 81(8), 1453 (2002)

    Article  CAS  Google Scholar 

  61. Takeyama, M.; Noya, A.; and Fukuda, T.: Thermal stability of Cu/W/Si contact systems using layers of Cu(111) and W(110) preferred orientations. J. Vac. Sci. Tech. A 15(2), 415 (1997)

    Article  CAS  Google Scholar 

  62. Kolawa, E.; Chen, J. S.; Reid, J. S.; Pokela, P. J.; and Nicolet, M.-A.: Tantalum-based diffusion barriers in Si/Cu VLSI metallizations. J. Appl. Phys. 70(3), 1369 (1991)

    Article  CAS  Google Scholar 

  63. Rawal, S.; Norton, D. P.; Anderson, T. J.; and McElwee-White, L.: Properties of W–Ge–N as a diffusion barrier material for Cu. Appl. Phys. Lett. 87(11), 111902 (2005)

    Article  Google Scholar 

  64. Nicolet, M.-A.; and Giauque, P. H.: Highly metastable amorphous or near-amorphous ternary films (mictamict alloys). Microelec. Eng. 55(1–4), 357 (2001)

    Article  CAS  Google Scholar 

  65. Krishnamoorthy, A.; Chanda, K.; Murarka, S. P.; Ramanath, G.; and Ryan, J. G.: Self-assembled near-zero-thickness molecular layers as diffusion barriers for Cu metallization. Appl. Phys. Lett. 78(17), 2467 (2001)

    Article  CAS  Google Scholar 

  66. Ramanath, G.; Cui, G.; Ganesan, P. G.; Guo, X.; Ellis, A. V.; Stukowski, M.; Vijayamohanan, K.; and Doppelt, P.: Self-assembled subnanolayers as interfacial adhesion enhancers and diffusion barriers for integrated circuits. Appl. Phys. Lett. 83(2), 383 (2003)

    Article  CAS  Google Scholar 

  67. Ganesan, P. G.; Cui, G.; Vijayamohanan, K.; Lane, M.; and Ramanath,G.: Effects of amine- and pyridine-terminated molecular nanolayers on adhesion at Cu-SiO2 interfaces. J. Vac. Sci. Technol. B 23(1), 327 (2005)

    Article  CAS  Google Scholar 

  68. Ritala, M.; and Leskel, M.: Handbook of Thin Film Materials. Deposition and Processing of Thin Films, Vol. 1, Nalwa, H. S. (Ed.), Academic Press, 103 (2002)

    Google Scholar 

  69. Leskel, M.; and Ritala, M.: Atomic layer deposition chemistry: Recent. developments and future challenges. Angew. Chem. Int. Ed. 42, 5548 (2003)

    Article  Google Scholar 

  70. Bayer, G.; Satta, A.; Schuhmacher, J.; Maex, K.; Besling, W.; Kilpela, O.; Sprey, H.; and Tempel, G.: Development of sub-10-nm atomic layer deposition barriers for Cu/low-k interconnects. Microelec. Eng. 64, 233 (2002)

    Article  Google Scholar 

  71. Kim, H.; Cabral, C.; Lavoie, C.; and Rossnagel, S. M.: Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition. J. Vac. Sci. Technol. B 20(4), 1321 (2002)

    Article  CAS  Google Scholar 

  72. Kim, H.; Kelloch, A. J.; and Rossnagel, S. M.: Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition. J. Appl. Phys. 92(12), 7080 (2002)

    Article  CAS  Google Scholar 

  73. Kim, H.; Lavoie, C.; Copel, M.; Narayanan, V.; Park, D.-G.; and Rossnagel, S. M.: The physical properties of cubic plasma-enhanced atomic layer deposition TaN films. J. Appl. Phys. 95(10), 5848 (2004)

    Article  CAS  Google Scholar 

  74. Wu, Y. Y.; Kohn, A.; and Eizenberg, M.: Structures of ultra-thin atomic-layer-deposited TaN x films. J. Appl. Phys. 95(11), 6167 (2004)

    Article  CAS  Google Scholar 

  75. Kim, H.; Detavenier, C.; van der Straten, O.; Rossnagel, S. M.; Kellock, A. J.; and Park, D. G.: Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition. J. Appl. Phys. 98(1), 014308 (2005)

    Article  Google Scholar 

  76. Tsai, M. H.; Sun, S. C.; Tsai, C. E.; Chuang, S. H.; and Chiu, H. T.: Comparison of the diffusion barrier properties of chemical-vapor-deposited TaN and sputtered TaN between Cu and Si. J. Appl. Phys. 79(9), 6932 (1996)

    Article  CAS  Google Scholar 

  77. Diamand, Y. S.; Dubin V.; and Angyal, M.: Electroless copper deposition for ULSI. Thin Sold Films 262(10), 93 (1995)

    Article  Google Scholar 

  78. Mallikarjunan, A.; Murarka, S. P.; and Lu, T.-M.: Metal drift behavior in low dielectric constant organosiloxane polymer. Appl. Phys. Lett. 79(12), 1855 (2001)

    Article  CAS  Google Scholar 

  79. De Cogan, D.; Haddara, Y. M.; and Jones, K.: Properties of Crystalline Silicon. Hull, R. (Ed.), Inspec, London (1999)

    Google Scholar 

  80. Rha, S. K.; Lee, W. J.; Lee, S. Y.; Hwang, Y. S.; Lee, Y. J.; Kim, D. I.; Kim, D. W.; Chun, S. S.; and Park, C. O.: Improved TiN film as a diffusion barrier between copper and silicon. Thin Solid Films 320(1), 134 (1998)

    Article  CAS  Google Scholar 

  81. Reid, J. S.; Sun, X.; Kolawa, E.; and Nicolet, M.-A.: Ti-Si-N diffusion barriers between silicon and copper. IEEE Electron Device Lett. 12(8), 298 (1994)

    Article  Google Scholar 

  82. Baumann, J.; Kaufmann, C.; Rennau, M.; Werner, T.; and Gessner, T.: Investigation of copper metallization induced failure of diode structures with and without a barrier layer. Microelect. Eng. 33(1–4), 283 (1997)

    Article  CAS  Google Scholar 

  83. Wang, M. T.; Lin, Y. C.; and Chen, M. C.: Barrier properties of very thin Ta and TaN layers against copper diffusion. J. Electrochem. Soc. 145(7), 2538 (1998)

    Article  CAS  Google Scholar 

  84. Ahrens, C.; Ferretti, R.; Friese, G.; and Weidner, J. O.: Thermal stress effects on capacitance and current characteristics of Cu/Si and Cu/TiN/Si Schottky-diodes. Microelect. Eng. 37/38, 211 (1997)

    Article  CAS  Google Scholar 

  85. Angyal, M. S.; Diamand, Y. S.; Ried, J. S.; and Nicolet, M.-A.: Performance of tantalum-silicon-nitride diffusion barriers between copper and silicon dioxide. Appl. Phys. Lett. 67(15), 2152 (1995)

    Article  CAS  Google Scholar 

  86. Barbottin G.; and Vapaille, A.: Instabilities in Silicon Devices. North-Holland, Amsterdam (1986)

    Google Scholar 

  87. Schröder, D. K.: Advanced MOS devices. Addison-Wesley Publishing Company, Boston, MA (1987).

    Google Scholar 

  88. Kohn, A.; Eizenberg, M.; Diamand, Y. S.; Israel, B.; and Sverdlov, Y.: Evaluation of electroless deposited Co(W, P) thin films as diffusion barriers for copper metallization. Microelec. Eng. 55(1–4), 297 (2001)

    Article  CAS  Google Scholar 

  89. Kuhn, M.; and Silversmith, D. J.: Ionic contamination and transport of mobile ions in MOS structures. J. Electrochem. Soc. 118(6), 966 (1971)

    Article  CAS  Google Scholar 

  90. Cohen, S. A.; Liu, J.; Gignac, L.; Ivers, T.; Armbrust, D.; Rodbell, K. P.; and Gates, S. M.: Proc. Adv. Interconnects and Contacts Confer. Edelstein, C. (Ed.): Materials Research Society, Warrendale Pa, 564, 551 (1999)

    Google Scholar 

  91. Ganesan, P. G.; Gamba, J.; Ellis, A.; Kane, R. S.; and Ramanath, G.: Polyelectrolyte nanolayers as diffusion barriers for Cu metallization. Appl. Phys. Lett. 83(16), 3302 (2003)

    Article  CAS  Google Scholar 

  92. Lipp, E.: M.Sc. Thesis, Technion – Israel Institute of Technology, Haifa, Israel (2004)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to A. Kohn .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Kohn, A., Eizenberg, M. (2009). Diffusion Barriers for Ultra-Large-Scale Integrated Copper Metallization. In: Shacham-Diamand, Y., Osaka , T., Datta, M., Ohba, T. (eds) Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications. Springer, New York, NY. https://doi.org/10.1007/978-0-387-95868-2_7

Download citation

Publish with us

Policies and ethics