Skip to main content
  • 1868 Accesses

Abstract

As CMOS transistors are scaled down, interconnects to link them are also shrunk to reduce the line pitches [1, 2]. Figure 20.1a shows the technology trends of the CMOS gate length (Lg) and the minimum line pitches (P int). In 130 nm node LSIs, the Lg and P int have been approximately 100 and 400 nm, respectively. By device scaling, Lg and P int in 45 nm node LSIs will be shrunk to 40–50 and 140 nm, respectively. These small features are patterned by photo-lithography process, in which photo-sensitive resist is coated on silicon wafer and is exposed by laser light. The resist is developed to make fine patterns of the resist. Figure 20.1b shows trends of the minimum pattern resolution (R) and the depth of focus (DOF). To reduce the resolution, the wavelength of exposure light should be shortened using the large diameter lens (NA number), however the DOF decreases drastically. This requires flat-surface interconnects such as Cu Damascene interconnects for multi-level stacks.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Hayashi, Y.: Impacts of Low-k Film on Sub-100 nm-node, ULSl Devices. IEEE Intl. Interconnect Tech. Conf., (San Francisco, USA), 145 (2002)

    Google Scholar 

  2. Maex, K.; Baklanov, M.R.; Shamiryan, D.; Lacopi, F.; Brongersma, S.H.; Yanovitskaya, Z.S.: Low dielectric constant materials for microelectronics. J. Appl. Phys, 93(11), 8793 (2003)

    Article  CAS  Google Scholar 

  3. Abe, M.; Tada, M.; Ohtake, H.; Furutake, N.; Narihiro, M.; Arai, K.; Takeuchi, T.; Saito, S.; Taiji, T.; Motoyama, K.; Kasama, Y.; Arita, K.; Ito, F.; Yamamoto, H.; Tagami, M.; Tonegawa, T.; Tsuchiya, Y.; Fujii, K.; Oda, N.; Sekine M.; and Hayashi, Y.: A robust 45 nm-node, dual damascene interconnects with high quality cu/barrier interface by a novel oxygen absorption process. IEEE Intl. Electron Device Meeting, Tech. Washington DC, USA, Digest, 77 (2005)

    Google Scholar 

  4. Ogawa, E.T.; McPherson, J.W.; Rosal, J.A.; Dickerson, K.J.; Chiu, T.–C.; Tsung, L.Y.; Jain, M.K.; BonifieldT.D.; and Ondrusek, J. C.: Stress-induced voiding under vias connected to wide Cu metal leads. Proc. IEEE 40th Annual Intl. Reliability Physics Symp. San Jose, USA, 312 (2002)

    Google Scholar 

  5. Abe, M.; Furutake, N.; Saito, S.; Inoue N.; and Hayashi, Y.: Effects of the Metallurgical Properties of Upper Cu Film on Stress-Induced Voiding (SIV) in Cu Dual-Damascene Interconnects. Japanese J Appl. Phys. 44(4B), 2294 (2005)

    Article  CAS  Google Scholar 

  6. Tonegawa, T.; Hiroi, M.; Motoyama, K.; Fujii, K.; and Miyamoto, H.: Suppression of bimodal stress-induced voiding using high-diffusive dopant from Cu-alloy seed layer. IEEE Intl. Interconnect Tech. Conf. San Francisco, USA, 216 (2003)

    Google Scholar 

  7. Furusawa, T.; Miura, N.; Matsumoto, M.; Goto, K.; Hashii, S.; Fujiwara, Y.; Yoshikawa, K.; Yonekura, K.; Asano, Y.; Ichiki, T.; Kawanabe, N.; Matsuzawa, T.; and Matsuura, M.: UV-hardened high-modulus CVD-ULK material for 45-nm node Cu/low-k interconnects with homogeneous dielectric structures. IEEE Intl. Interconnect Tech. Conf. San Francisco, USA, 45 (2005)

    Google Scholar 

  8. Miyajima, H.; Fujita, K.; Nakata, R.; Yoda, T.; and Hayasaka, N.: The application of simultaneous ebeam cure methods for 65 nm node Cu/low-k technology with hybrid (PAE/MSX) structure. IEEE Intl. Interconnect Tech. Conf. San Francisco, USA, 222 (2004)

    Google Scholar 

  9. Hayashi, Y.; Harada, Y.; Itoh, F.; Takeuchi, T.; Tada, M.; Tagami, M.; Ohtake, H.; Hijioka, K.; Saito, S.; Onodera, T.; Hara D.; and Tokudome, K.: Novel molecular-structure design for PECVD porous SiOCH films toward 45 nm node, ASICs with k = 2.3. IEEE Intl. Interconnect Tech. Conf. San Francisco, USA, 225 (2004)

    Google Scholar 

  10. Tada, M.; Ohtake, H.; Narihiro, M.; Itoh, F.; Taiji, T.; Tohara, M.; Motoyama, K.; Kasama, Y.; Tagami, M.; Abe, M.; Takeuchi, T.; Arai, K.; Saito, S.; Furutake, N.; Onodera, T.; Kawahara, J.; Kinoshita, K.; Hata, N.; Kikkawa, T.; Tsuchiya, Y.; Fujii, K.; Oda, N.; Sekine, M.; and Hayashi, Y.: Feasibility study of a novel molecular-pore-stacking (MPS), SiOCH film in fully scale-down, 45 nm-node Cu damascene interconnects. Symp. VLSI Technol. (Kyoto, JPN), Dig., 18 (2005)

    Google Scholar 

  11. Tagami, M.; Ohtake, H.; Tada, M.; Ueki, M.; Ito, F.; Taiji, T.; Kasama,Y.; Iwamoto, T.; Wakabayashi, H.; Fukai, T.; Arai, K.; Saito, S.; Yamamoto, H.; Abe, M.; Narihiro, M.; Furutake, N.; Onodera, T.; Takeuchi, T.; Tsuchiya, Y.; Oda, N.; Sekine, M.; Hane, M.; and Hayashi, Y.: High-performance Cu-interconnects with Novel Seamless Low-k SiOCH Stacks (SEALS) Featured by Compositional Modulation Process for 45 nm-node ULSI Devices. Symp.VLSI Tech., 134 (2006)

    Google Scholar 

  12. Li, H.Y.; Su, Y.J.; Tsang, C.F.; Sohan, S.M.; Bliznetsov, V.; and Zhang, L.: Process improvement of 0.13 μm Cu/Low K (Black Diamond) dual Damascene interconnection. Microelectron. Reliab. 45(7–8), 1134 (2005)

    Article  CAS  Google Scholar 

  13. Mehta, S. S.; Qin, S. H.; Roy M. M.; Singh, N.; and Kumar, R.: Resist pattern peeling assessment in DUV chemically amplified resist. Microelectron. J. 35(5), 427 (2004)

    Article  CAS  Google Scholar 

  14. Ohtake, H.; Saito, S.; Tagami, M.; Tada, M.; Abe, M.; Furutake, N.; and Hayashi,Y.: Via-profile controlledporous low-k/Cu DDIs with high thermal stability. In Ext. Abstr. 2005 Int. Conf. Solid State Dev. Mat., 300 (2005)

    Google Scholar 

  15. Ohtake, H.; Tagami, M.; Arita, K.; and Hayashi, Y.: Misalignment tolerated Cu dual Damascene interconnects with low-k SiOCH film by a novel via-first, multi-hard-mask process for sub-100-nm node ASICs. IEEE Intl. Electron Device Meeting, Tech. Washington DC, USA, Digest, 853 (2003)

    Google Scholar 

  16. Ueki, M.; Narihiro, M.; Ohtake, H.; Tagami, M.; Tada, M.; Ito, F.; Harada, Y.; Abe, M.; Inoue, N.; Arai, K.; Takeuchi, T.; Saito, S.; Onodera, T.; Furutake, N.; Hiroi, M.; Sekine, M.; and Hayashi, Y.: Highly reliable, 65 nm-node Cu dual Damascene interconnects with full porous-SiOCH (k = 2.5) films for low-power ASICs. Symp. on VLSI Technology Hawaii, USA, Digest, 60 (2004)

    Google Scholar 

  17. Kawahara, J.; Nakano, A.; Saito, S.; Kinoshita, K.; Onodera, T.; and Hayashi, Y.: High performance Cu interconnects with low-k BCB-polymers by plasma-enhanced monomer-vapor polymerization (PE-MVP) method. Symp. VLSI Technol. Kyoto, JPN, Dig., 45 (1999)

    Google Scholar 

Download references

Acknowledgments

The author would like to acknowledge Drs. F. Ito, H. Ohtake (now in Tohoku University), J. Kawahara, N. Inoue, M. Tagami, M. Tada (now in NEC), M. Ueki, K. Hijioka, M. Abe, T. Takeuchi, T. Onodera, S. Saito, and N, Furutake all in LSI Fundamental Research Laboratory for research on advanced LSI BEOL technologies.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yoshihiro Hayashi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Hayashi, Y. (2009). Lithography for Cu Damascene Fabrication. In: Shacham-Diamand, Y., Osaka , T., Datta, M., Ohba, T. (eds) Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications. Springer, New York, NY. https://doi.org/10.1007/978-0-387-95868-2_20

Download citation

Publish with us

Policies and ethics