Skip to main content

3D Integration Based upon Dielectric Adhesive Bonding

  • Chapter
  • First Online:
Wafer Level 3-D ICs Process Technology

Part of the book series: Integrated Circuits and Systems ((ICIR))

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Niklaus F, Stemme G, Lu J-Q, Gutmann R (2006) Adhesive wafer bonding. Journal of Applied Physics (Applied Physics Review – Focused Review), 99, 031101(3): 031101-1–28

    Google Scholar 

  2. Lu J-Q, Cale TS, Gutmann RJ (2005) Wafer-level three-dimensional hyper-integration technology using dielectric adhesive wafer bonding. Materials for information technology: In: Zschech E, et al (eds) Devices, Interconnects and Packaging, Springer-Verlag (London) Ltd., London, pp 386–397

    Google Scholar 

  3. Burns J, McIlrath L, Keast C, Loomis A, Warner K, Wyatt P (2001) Three-dimensional integrated circuits for low-power, high-bandwidth systems on a chip. In: IEEE Intl. Solid-State Circuit Conf. (ISSCC 2001), pp 268–269

    Google Scholar 

  4. Gutmann RJ, Zeng AY, Devarajan S, Lu J-Q, Rose K (2004) Wafer-level three-dimensional monolithic integration for intelligent wireless terminals. J Semicond Technol Sci, 4(3): 196–203

    Google Scholar 

  5. Lu J-Q, Devarajan S, Zeng AY, Rose K, Gutmann RJ (2004) Die-on-wafer and wafer-level three-dimensional (3D) integration of heterogeneous IC technologies for RF-microwave-millimeter applications. In: Cho YS, et al (eds) Materials, Integration and Packaging Issues for High-Frequency Devices II, MRS Proc. vol 833, pp G6.8.1–G6.8.6

    Google Scholar 

  6. Lu J-Q, Sun J, Giuliano D, Gutmann RJ (2006) 3D Architecture for power delivery to microprocessors and ASICs. In: The 3rd International Conference on 3D Architectures for Semiconductor Integration and Packaging, Burlingame, CA

    Google Scholar 

  7. Sun J, Lu J-Q, Giuliano D, Chow P, Gutmann RJ (2007) 3D Power delivery for microprocessors and high-performance ASICs. In: 22nd Annual IEEE applied power electronics conference and exposition (APEC 2007), pp 127–133

    Google Scholar 

  8. Lu J-Q, Jindal A, Persans PD, Cale TS, Gutmann RJ (2003) Wafer-level assembly of heterogeneous technologies. In: Digest of International Conference on Compound Semiconductor Manufacturing Technology (GaAs MANTECH 2003 Scottsdale), pp 91–94

    Google Scholar 

  9. Persans PD, Ojha M, Gutmann RJ, Lu J-Q, Filin A, Plawsky J (2004) Optical interconnect components for wafer level heterogeneous hyper-integration. In: Carter RJ, Hau-Riege CS, Kloster GM, Lu TM, and Schulz SE (eds) Materials, Technology, and Reliability for Advanced Interconnects and Low-k Dielectrics, MRS Proc. vol 812, pp F6.11.1–F6.11.5

    Google Scholar 

  10. McMahon JJ, Lu J-Q, Gutmann RJ (2005) Wafer bonding of damascene-patterned metal/adhesive redistribution layers for via-first 3D interconnect. In: 55th IEEE Electronic Components and Technology Conference, pp 331–336

    Google Scholar 

  11. Lu J-Q, Kwon Y, Kraft RP, Gutmann RJ, McDonald JF, Cale TS (2001) Stacked chip-to-chip interconnections using wafer bonding technology with dielectric bonding glues. In: IEEE International Interconnect Technology Conference (IITC 2001), pp 219–221

    Google Scholar 

  12. Lu J-Q, Lee KW, Kwon Y, Rajagopalan G, McMahon J, Altemus B, Gupta M, Eisenbraun E, Xu B, Jindal A, Kraft RP, McDonald JF, Castracane J, Cale TS, Kaloyeros A, Gutmann RJ (2003) Processing of inter-wafer vertical interconnects in 3D ICs. In: Melnick BM, Cale TS, Zaima S, T. Ohta T (eds) Advanced Metallization Conference 2002 (AMC 2002), MRS Proc vol V18, pp 45–51

    Google Scholar 

  13. Ramm P, Bonfert D, Ecke R, Iberl F, Klumpp A, Riedel S, Schulz SE, Wieland R, Zacher M, Gessner T (2002) Interchip via technology by using copper for vertical system integration. In: McKerrow AJ, Shacham-Diamand Y, Zaima S, Ohba T (eds) Advanced Metallization Conference, pp 159–165

    Google Scholar 

  14. Lu J-Q, Kumar A, Kwon Y, Eisenbraun ET, Kraft RP, McDonald JF, Gutmann RJ, Cale TS, Belemjain P, Erdogan O, Castracane J, Kaloyeros AE (2000) 3D Integration using wafer bonding”, Advanced Metallization Conference, eds., Edelstein D, Dixit G, Yasuda Y, T. Ohba T, MRS Proc. vol V16, pp 515-521

    Google Scholar 

  15. Lu J-Q, Jindal A, Kwon Y, McMahon JJ, Rasco M, Augur R, Cale TS, Gutmann RJ (2003) Evaluation procedures for eafer bonding and thinning of interconnect test structures for 3D ICs. In: IEEE International Interconnect Technology Conference, pp 74–76

    Google Scholar 

  16. Guarini KW, Topol AW, Ieong M, Yu R, Shi L, Newport MR, Frank DJ, Singh DV, Cohen GM, Nitta SV, Boyd DC, O’Neil PA, Tempest SL, Pogge HB, Purushothaman S, Haensch WE (2002) Electrical integrity of state-of-the-Art 0.13 mm SOI CMOS devices and circuits transferred for three-dimensional (3D) integrated circuit (IC) fabrication. In: Technical Digest of IEEE International Electron Devices Meeting, pp 943–945

    Google Scholar 

  17. Burns JA, Aull BF, Chen CK, Chen CL, Keast CL, Knecht JM, Suntharalingam V, Warner K, Wyatt PW, Yost DRW (2006) A wafer-scale 3-D circuit integration technology. IEEE Trans. Electron Devices, 53(10): 2507–2516

    Article  Google Scholar 

  18. Chen KN, Lee SH, Andry PS, Tsang CK, Topol AW, Lin YM, Lu J-Q, Young AM, Ieong M, Haensch W (2006) Structure design and process control for Cu bonded interconnects in 3D integrated circuits. In: Technical Digest of IEEE International Electron Devices Meeting, pp 367–370

    Google Scholar 

  19. Patti R (2006) Three-dimensional integrated circuits and the future of system-on-chip designs. Proceedings of the IEEE, 94(6): 1214–1222

    Article  Google Scholar 

  20. Lu J-Q, McMahon JJ, Gutmann RJ (2006) Via-first inter-wafer vertical interconnects utilizing wafer-bonding of damascene-patterned metal/adhesive redistribution layers. In: 3D Packaging Workshop at IMAPS Device Packaging Conference, Scottsdale, AZ

    Google Scholar 

  21. Gutmann RJ, McMahon JJ, Lu J-Q (2006) Damascene patterned metal/adhesive redistribution layers. In: Bower CA, Garrou PE, Ramm P, Takahashi K (eds) Enabling Technologies for 3-D Integration, , Mater Res Soc Symp Proc, 970, Warrendale, PA, paper # 0970-Y04-02

    Google Scholar 

  22. Enquist P (2006) Bond Interconnect (DBI) – Technology for scalable 3D SoCs. In: The 3rd International Conference on 3D Architectures for Semiconductor Integration and Packaging, Burlingame, CA

    Google Scholar 

  23. Lu J-Q, Kwon Y, Rajagopalan G, Gupta M, Bae DL, McMahon J, Hong CK, Kraft RP, Erdogan O, Belemjian PM, McDonald JF, Cale TS, Gutmann RJ, Eisenbraun E, Xu B, Castracane J, Kaloyeros A (2002) Fabrication of via-chain test structures for 3D IC technology using dielectric bonding on 200 mm wafers. In: McKerrow AJ, Shacham-Diamand Y, Zaima S, Ohba T (eds) Advanced Metallization Conference, pp 151–157

    Google Scholar 

  24. Lu J-Q, Jindal A, Kwon Y, McMahon JJ, Lee KW, Kraft RP, Altemus B, Cheng D, Eisenbraun E, Cale TS, Gutmann RJ (2003) 3D System-on-a-chip using dielectric glue bonding and Cu damascene inter-wafer interconnects. In: Mathad GS, Cale TS, Collins D, Engelhardt M, Leverd F, Rathore HS (eds) International Symposium on Thin Film Materials, Processes, and Reliability, ECS Proceedings PV2003-13, pp 381–389

    Google Scholar 

  25. Garrou P, Scheck D, Im JH, Hetzner J, Meyers G, Hawn D, Wu J, Vincent MB, Wong CP (2000) Underfill adhesion to BCB (CycloteneTM) bumping and redistribution dielectrics. IEEE Transactions on Advanced Packaging, 23(3): 568–573

    Article  Google Scholar 

  26. Yang DQ, Martinu L, Sacher E, Sadough-Vanini A (2001) Nitrogen plasma treatment of the dow cyclotene 3022 surface and its reaction with evaporated copper. Appl Surf Sci, 177(1–2): 85–95

    Article  Google Scholar 

  27. Price DT, Gutmann RJ, Murarka SP (1997) Damascene copper interconnects with polymer ILDs. Thin Solid Films, 308–309: 523–528

    Article  Google Scholar 

  28. Adema GM, BerryMJ, Dibbs MG, Garrou P, Heistand RH, Huber BS, Mohler CE, Stokich TM, Townsend PH, Turlik I (1992) Cure management of benzocyclobutene dielectric for electronic applications. In: Proceedings of Society of Advanced Material Process Engineering (SAMPE) Electronics Conference 1992, pp 1–10, Baltimore

    Google Scholar 

  29. Mills ME, Townsend P, Castillo D, Martin S, Achen A (1997) Benzocyclobutene (DVS-BCB) polymer as an interlayer dielectric (ILD) material. Microelectron Eng, 33(1): 327–334

    Article  Google Scholar 

  30. Niklaus F, Kumar RJ, McMahon JJ, Yu J, Lu J-Q, Cale TS, Gutmann RJ (2006) Adhesive wafer bonding using partially cured benzocyclobutene (BCB) for three-dimensional integration. J Electrochem. Soc, 153(4): G291–G295

    Article  Google Scholar 

  31. Kwon Y, Seok J, Lu J-Q, Cale TS, Gutmann RJ (2006) Critical adhesion energy of benzocyclobutene (BCB)-bonded wafers. J Electrochem Soc, 153(4): G347–G352

    Article  Google Scholar 

  32. Wimplinger M, Lu J-Q, Yu J, Kwon Y, Matthias T, Cale TS, Gutmann RJ (2004) Fundamental limits for 3D wafer-to-wafer alignment accuracy. In: Carter RJ, Hau-Riege CS, Kloster GM, Lu TM, Schulz SE, (eds) Materials, Technology, and Reliability for Advanced Interconnects and Low-k Dielectrics, MRS Proc. vol 812: pp F6.10.1–F6.10.6

    Google Scholar 

  33. Kwon Y, Jindal A, McMahon JJ, Lu J-Q, Gutmann RJ, Cale TS (2003) Dielectric glue wafer bonding for 3D ICs. In: McKerrow AJ, Leu J, Kraft O, Kikkawa T (eds) Materials, Technology, and Reliability for Advanced Interconnects and Low-k Dielectrics, MRS Proc. vol 766: pp 27–32

    Google Scholar 

  34. Kwon Y, Seok J, Lu J-Q, Cale TS, Gutmann RJ (2005) Thermal cycling effects on critical adhesion energy and residual stress in benzocyclobutene (BCB)-bonded wafers. J Electrochem Soc, 152(4): G286–G294

    Article  Google Scholar 

  35. Kwon Y, Seok J, Lu J-Q, Cale TS, Gutmann RJ (2007) Critical adhesion energy at the interface between benzocyclobutene and silicon nitride layers. J Electrochem Soc, 154(6): H460–H465

    Article  Google Scholar 

  36. Pozder S, Lu J-Q, Kwon Y, Zollne S, Yu J, McMahon JJ, Cale TS, Yu K, Gutmann RJ (2004) Back-end compatibility of bonding and thinning processes for a wafer-level 3D interconnect technology platform. In: IEEE International Interconnect Technology Conference (IITC 2004), pp 102–104

    Google Scholar 

  37. Gutmann RJ, Lu J-Q, Pozder S, Kwon Y, Jindal A, Celik M, McMahon JJ, Yu K, Cale TS (2003) A wafer-level 3D IC technology platform. In: Ray GW, Smy T, Ohta T, Tsujimura M (eds) Advanced Metallization Conference, pp 19–26

    Google Scholar 

  38. Lu J-Q, Kwon Y, Jindal A, McMahon JJ, T.S. Cale TS, Gutmann RJ (2003) Dielectric glue wafer bonding and bonded wafer thinning for wafer-level 3D integration. In: Bengtsson S, Hunt CE, Baumgart H, Suga T, Hesketh P (eds) Semiconductor Wafer Bonding VII: Science, Technology, and Applications, ECS Proc. V. 2003–19, pp 76–86

    Google Scholar 

  39. McMahon JJ, Niklaus F, Kumar RJ, Yu J, Lu J-Q, Gutmann RJ (2005) CMP Compatibility of partially cured benzocyclobutene (BCB) for a via-first 3D IC process. In: Kumar A, Lee JA, Obeng YS, Vos I, Johns EC (eds) Chemical-Mechanical Planarization—Integration, Technology and Reliability, MRS Proc vol 867: pp W4.4.1-6

    Google Scholar 

  40. Gutmann RJ, McMahon JJ, Rao S, Niklaus F, Lu J-Q (2005) Wafer-level via-first 3D integration with hybrid-bonding of Cu/BCB redistribution layers. In: Proceedings of SMTA International Wafer Level Packaging Congress (IWLPC), pp 122–127

    Google Scholar 

  41. Lu J-Q, Rajagopalan G, Gupta M, Cale TS, Gutmann RJ (2004) Planarization issues in wafer-level 3D integration. In: Boning DS, Bartha JW, Philipossian A, Shinn G, Vos I (eds) Advances in Chemical-Mechanical Polishing, Mater Res Soc Symp Proc, 816, Warrendale, PA, pp 217–28

    Google Scholar 

  42. Lu J-Q, Kwon Y, Rajagopalan G, Gupta M, McMahon J, Lee KW, Kraft RP, McDonald JF, Cale TS, Gutmann RJ, Xu B, Eisenbraun E, Castracane J, Kaloyeros A (2002) A Wafer-scale 3D IC technology platform using dielectric bonding glues and copper damascene patterned inter-wafer interconnects. In: IEEE International Interconnect Technology Conference, pp 78–80

    Google Scholar 

  43. Zhang J. Bloomfield MO, Lu J-Q, Gutmann RJ, Cale TS (2006) Modeling thermal stresses in 3-D IC interwafer interconnects. IEEE Trans. Semicond. Manuf., 19(4):437–448

    Article  Google Scholar 

  44. Filippi RG, McGrath JF, Shaw TM, Murray CE, Rathore HS, McLaughlin PS, McGahay V, Nicholson L, Wang PC, Lloyd JR, Lane M, Rosenberg R, Liu X, Wang YY, Landers W, Spooner T, Demarest JJ, Engel BH, Gill J, Goth G, Barth E, Biery G, Davis CR, Wachnik RA, Goldblatt R, Ivers T, Swinton A, Barile C, Aitken J (2004) Thermal cycle reliability of stacked via structures with copper metallization and an organic low-k dielectric. In: Proceedings of IEEE International Reliability Physics Symposium, pp 61–67

    Google Scholar 

  45. Pozder S, Jones R, Adams V, Li HF, Canonico M, Zollner S, Lee SH, Gutmann RJ, Lu J-Q (2007) Exploration of the scaling limits of 3D integration. In: Bower CA, Garrou PE, Ramm P, Takahashi K (eds) Enabling Technologies for 3-D Integration, Mater Res Soc Symp Proc, 970, Warrendale, PA, paper # 0970-Y02-01

    Google Scholar 

  46. Gutmann RJ, Lu J-Q (2008) Wafer-level three-dimensional (3D) integration for advanced CMOS systems. In: Iniewski K, (ed) Circuits for the Nanoscale: Communications, Imaging and Sensing, CRC Press pp 39–52

    Google Scholar 

  47. Zeng AY, Lu J-Q, Rose K, Gutmann RJ (2005) First-order performance prediction of cache memory with wafer-level 3D integration. IEEE Design Test Comput., 22(6):548–555

    Article  Google Scholar 

  48. Zeng AY, Lu J-Q, Rose K, Gutmann RJ (2004) Wafer-level 3D manufacturing issues for streaming video processors. In: Proc. 15th Annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2004), pp 247–251, Boston, MA

    Google Scholar 

  49. Lu J-Q, Rose K, Vitkavage S (2007) Performance study and applications of 3D integration. In: Proceedings of the International Conference on 3D Architectures for Semiconductor Integration and Packaging, Burlingame, CA

    Google Scholar 

Download references

Acknowledgments

Rensselaer 3D integration research programs were supported by DARPA, MARCO, and NYSTAR through the Interconnect Focus Center, as well as by SRC, EVGroup, IBM, Freescale, and SEMATECH. We gratefully acknowledge the contributions from many colleagues associated with the 3D group at Rensselaer and from our collaborators at the University at Albany, EVGroup, IBM, Freescale Semiconductor, and SEMATECH.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jian-Qiang Lu .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Lu, JQ., Cale, T.S., Gutmann, R.J. (2008). 3D Integration Based upon Dielectric Adhesive Bonding. In: Tan, C., Gutmann, R., Reif, L. (eds) Wafer Level 3-D ICs Process Technology. Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-76534-1_10

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-76534-1_10

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-76532-7

  • Online ISBN: 978-0-387-76534-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics