Skip to main content

Part of the book series: Series on Integrated Circuits and Systems ((ICIR))

  • 870 Accesses

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agarwal A, Roy, K (2003) A Noise Tolerant Cache Design to Reduce Gate and Sub-threshold Leakage in the Nanometer Regime. Proc. ISLPED, pp 18–21

    Google Scholar 

  2. Agarwal A, Paul B, Roy K (2004) A Novel Fault Tolerant Cache to Improve Yield in Nanometer Technologies. Proc. IOLTS, pp 149–154

    Google Scholar 

  3. Bhavnagarwala A, Kosonocky S, Kowalczyk S, Joshi R, Chan Y, Srinivasan U, Wadhwa J (2004) A Transregional CMOS SRAM with Single, Logic VDD and Dynamic Power Rails. Symp. VLSI Circuits Dig. Tech. Papers, pp 292–293

    Google Scholar 

  4. Chang J, Huang M, Shoemaker J, Benoit J, Chen SL, Chen W, Chiu S, Ganesan R, Leong G, Lukka V, Rusu S, Srivastava D (2007) The 65-nm 16-MB Shared On-Die L3 Cache for the Dual-Core Intel Xeon Processor 7100 Series. IEEE J. Solid-State Circuits vol 42 no 4, pp 846–852

    Article  Google Scholar 

  5. Cheng W, Pedram M (2001) Memory Bus Encoding for Low Power: A Tutorial. Proc. ISQED, pp 26–28

    Google Scholar 

  6. Dorsey J, Searles S, Ciraula M, Johnson S, Bujanos N, Wu D, Braganza M, Meyers S, Fang E, Kumar R (2007) An Integrated Quad-Core Opteron Processor. ISSCC Dig. Tech. Papers, pp 102–103

    Google Scholar 

  7. International Technology Roadmap for Semiconductors (2001)

    Google Scholar 

  8. Jumel F, Royannez P, Mair H, Scott D, Er Rachidi A, Lagerquist R, Chau M, Gururajarao S, Thiruvengadam S, Clinton M, Menezes V, Hollingsworth R, Vaccani J, Piacibello F, Culp N, Rosal J, Ball M, Ben-Amar F, Bouetel L, Domerego O, Lachese JL, Fournet-Fayard C, Ciroux J, Raibaut C, Ko U (2006) A Leakage Management System Based on Clock Gating Infrastructure for a 65-nm Digital Base-Band Modem Chip. Symp. VLSI Circuits Dig. Tech. Papers, pp 214–215

    Google Scholar 

  9. Kaxiras S, Hu Z (2001) Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power. Int. Symp. Comput. Architect., pp 240–25

    Google Scholar 

  10. Khellah M, Kim SN, Howard J, Ruhl G, Sunna M, Ye Y, Tschanz J, Somasekhar D, Borkar N, Hamzaoglu F, Pandya G, Farhang A, Zhang K, De V (2006) A 4.2 GHz 0.3 mm2 256 kb Dual-Vcc SRAM Building Block in 65 nm CMOS. ISSCC Dig. Tech. Papers, pp 2572–2573

    Google Scholar 

  11. Khellah M, Ye Y, Kim NS, Somasekhar D, Pandya G, Farhang A, Zhang K, Webb C, De V (2006) Wordline & Bitline Pulsing Schemes for Improving SRAM Cell Stability in Low-Vcc 65 nm CMOS Designs. Symp. VLSI Circuits Dig. Tech. Papers, pp 9–10

    Google Scholar 

  12. Kim C, Kim JJ, Chang IJ, Roy K (2006) PVT-Aware Leakage Reduction for On-Die Caches With Improved Read Stability. IEEE J. Solid-State Circuits vol 41 no 1, pp 170–178

    Article  Google Scholar 

  13. Mizuno H, NaganoT (1995) Driving Source-Line (DSL) Cell Architecture for Sub-1-V High-Speed Low-Power Application. Symp. VLSI Circuits Dig. Tech. Papers, pp 25–26

    Google Scholar 

  14. Ohbayashi S, Yabuuchi M, Nii K, Tsukamoto Y, Imaoka S, Oda Y, Yoshihara T, Igarashi M, Takeuchi M, Kawashima H, Yamaguchi Y, Tsukamoto K, Inuishi M, Makino H, Ishibashi K, Shinohara H (2007) A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits. IEEE J. Solid-State Circuits vol 42 no 4, pp 820–829

    Article  Google Scholar 

  15. Osada K, Shin JL, Khan M, Liou Y, Wang K, Shoji K, Kuroda K, Ikeda S, Ishibashi K (2001) Universal-Vdd 0.65-2.0-V 32-kB Cache Using a Voltage-Adapted Timing-Generation Scheme and a Lithographically Symmetrical Cell. IEEE J. Solid-State Circuits vol 36 no 11, pp 1738–1744

    Article  Google Scholar 

  16. Sakran N, Yuffe M, Mehalel M, Doweck J, Knoll E, Kovacs A (2007) The Implementation of the 65 nm Dual-Core 64b Merom Processor. ISSCC Dig. Tech. Papers, pp 106–107

    Google Scholar 

  17. Seevinck E, List FJ, Lohstroh J (1987) Static-Noise Margin Analysis of MOS SRAM Cells. IEEE J. Solid-State Circuits vol 22 no 5, pp 748–754

    Article  Google Scholar 

  18. Takeyama Y, Otake H, Hirabayashi O, Kushida K, Otsuka N (2006) A Low Leakage SRAM Macro With Replica Cell Biasing Scheme. IEEE J. Solid-State Circuits vol 41 no 4, pp 815–822

    Article  Google Scholar 

  19. Wuu J, Weiss D, Morganti C, Dreesen M (2005) The Asynchronous 24 MB On-chip Level-3 Cache for a Dual-core Itanium Family Processor. ISSCC Dig. Tech. Papers, pp 488–489

    Google Scholar 

  20. Yamaoka M, Shinozaki Y, Maeda N, Shimazaki Y, Kato K, Shimada S, Yanagisawa K, Osada K (2004) A 300 MHz 25uA/Mb Leakage On-Chip SRAM Module Featuring Process-Variation Immunity and Low-Leakage-Active Mode for Mobile-Phone-Application Processor. ISSCC Dig. Tech. Papers, pp 494–495

    Google Scholar 

  21. Yamaoka M, Maeda N, Shinozaki Y, Shimazaki Y, Nii K, Shimada S, Yanagisawa K, Kawahara T (2006) 90-nm Process-Variation Adaptive Embedded SRAM Modules With Power-Line-Floating Write Technique. IEEE J. Solid-State Circuits vol 41 no 3, pp 705–711

    Article  Google Scholar 

  22. Zhang K, Bhattacharya U, Chen Z, Hamzaoglu F, Murray D, Vallepalli N, Wang Y, Zheng B, Bohr M (2006) A 3-GHz 70-Mb SRAM in 65-nm CMOS Technology With Integrated Column-Based Dynamic Power Supply. IEEE J. Solid-State Circuits vol 41 no 1, pp 146–151

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Wuu, J.J. (2008). Dynamic and Adaptive Techniques in SRAM Design. In: Wang, A., Naffziger, S. (eds) Adaptive Techniques for Dynamic Processor Optimization. Series on Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-76472-6_11

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-76472-6_11

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-76471-9

  • Online ISBN: 978-0-387-76472-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics