Skip to main content
  • 616 Accesses

Due to shrinking technology, increasing functional frequency and density, and reduced noise margins with supply voltage scaling, the sensitivity of designs to supply voltage noise is increasing. The supply noise is much larger during at-speed delay test compared to normal circuit operation since large number of transitions occur within a short time frame. Existing commercial ATPG tools do not consider the excessive supply noise that might occur in the design during test pattern generation. This chapter presents two case studies of an ITC'99 benchmark and a SOC design to show detailed IR-drop analysis, measurement and its effects on design performance during at-speed test. Next, a method is presented to measure the average power of at-speed test patterns, referred to as switching cycle average power (SCAP). Using SCAP model provides a cost-effective solution to identify patterns with high IR-drop and avoids expensive dynamic IR-drop analysis. A new practical pattern generation procedure is presented to generate supply noise tolerant delay test patterns using existing capabilities in commercial ATPG tools. The procedure will be implemented on two large designs. The results demonstrate that the new patterns, while slightly larger, will minimize the supply noise effects on path delay.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. 1. J. Saxena, K. M. Butler, J. Gatt, R. Raghuraman, S. P. Kumar, S. Basu, D. J. Campbell, J. Berech, “Scan-Based Transition Fault Testing - Implementation and Low Cost Test Challenges,” in Proc. International Test Conference (ITC’02), pp. 1120 - 1129, Oct. 2002.

    Google Scholar 

  2. 2. X. Lin, R. Press, J. Rajski, P. Reuter, T. Rinderknecht, B. Swanson and N. Tamarapalli, “High-Frequency, At-Speed Scan Testing,” IEEE Design & Test of Computers, pp. 17-25, Sep-Oct 2003.

    Google Scholar 

  3. 3. M. Nourani, M. tehranipoor and N. Ahmed, “Pattern Generation and Estimation for Power Supply Noise Analysis,” in proc. VLSI Test Symposium (VTS’05), pp. 439-444, 2005.

    Google Scholar 

  4. 4. R. Senthinatharr and J. L. Prince, Simultaneous Switching Noise of CMOS Devices and Systems, Kluwer Academic Publishers, 1994.

    Google Scholar 

  5. K. Chakrabarty, ”Test scheduling for core-based systems using mixed-integer linearprogramming,” in proc. IEEE Trans. on Computer-Aided DEsign of Integrated Circuits and Systems, Vol. 19, No. 10, 2000, pp. 1163-1174.

    Article  Google Scholar 

  6. 6. Y. Huang, et. al, ”Resource Allocation and Test Scheduling for Concurrent Test of Core-Based SOC Design,” in proc. IEEE Asian Test Symposium (ATS’01), pp. 265-270, 2001.

    Google Scholar 

  7. 7. J. Savir, “Skewed-Load Transition Test: Part I, Calculus,” in Proc. Int. Test Conf. (ITC’92), pp. 705-713, 1992.

    Google Scholar 

  8. 8. J. Savir and S. Patil, “On Broad-Side Delay Test,” in Proc. VLSI Test Symp. (VTS’94), pp. 284-290, 1994.

    Google Scholar 

  9. 9. B. Dervisoglu and G. Stong, “Design for Testability: Using Scanpath Techniques for Path-Delay Test and Measurement,” in Proc. Int. Test Conf. (ITC’91), pp. 365-374, 1991.

    Google Scholar 

  10. 10. X. Liu and M. Hsiao, “Constrained ATPG for Broadside Transition Testing,” in Proc. IEEE Int. Symp. on Defect and Fault Tolerance in VLSI Systems (DFT’03), pp. 175-182, 2003.

    Google Scholar 

  11. 11. Z. Zhang, S. M. Reddy, and I. Pomeranz, On Generating Pseudo-Functional Delay Fault Tests for Scan Designs, in proc. IEEE Intl. Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’05), pp. 398405, 2005.

    Google Scholar 

  12. 12. N. Ahmed, C.P. Ravikumar, M. Tehranipoor and J. Plusquellic, “At-Speed Transition Fault Testing With Low Speed Scan Enable,” in proc. IEEE VLSI Test Symposium (VTS’05), pp. 42-47, 2005

    Google Scholar 

  13. 13. Y.-S. Chang, S. K. Gupta and M. A. Breuer, “Analysis of Ground Bounce in Deep Sub-Micron Circuits,” in Proc. IEEE VLSI Test Symposium (VTS’97), pp. 110-116, 1997.

    Google Scholar 

  14. 14. H. H. Chen and D. D. Ling, “ A Power Supply Noise Analysis Methodology for Deep Submicron VLSI Chip Design,” in Proc. CM/IEEE Design Automation Conference (DAC’97), pp. 638-643, 1997.

    Google Scholar 

  15. 15. L. Zheng, B. Li, and H. Tenhunen, “Efficient and Accurate Modeling of Power Supply Noise on Distributed On-Chip Power Networks,” in Proc. Int. Symposium on Circuits and Systems (ISCAS’00), pp. 513-516, 2000.

    Google Scholar 

  16. 16. E. Liau and D. Landsiedel, “Automatic Worst Case Pattern Generation Using Neural Networks & Genetic Algorithm for Estimation of Switching Noise on Power Supply Lines in CMOS Circuits,” in Proc. European Test Workshop (ETW’03), pp. 105 -110, 2003.

    Google Scholar 

  17. 17. J. Wang, Z. Yue, X. Lu, W. Qiu, W. Shi, D. M. H. Walker, “A Vector-based Approach for Power Supply Noise Analysis in Test Compaction,” in Proc. Int. Test Conf. (ITC’05), 2005.

    Google Scholar 

  18. 18. A. Kokrady and C.P. Ravikumar, “Static Verification of Test Vectors for IR-drop Failure,” in Proc. Int. Conf. on Computer-Aided Design (ICCAD’03), pp. 760-764, 2003.

    Google Scholar 

  19. A. Krstic, Y. Jiang and K. Cheng, “Pattern Generation for Delay Testing and Dynamic Timing Analysis Considering Power-Supply Noise Effects,” in IEEE Transactions on CAD, vol. 20, nNo. 3, pp. 416-425 March 2001.

    Google Scholar 

  20. 20. X. Wen, Y. Yamashita, S. Kajihara, L. T. Wang, K. K. Saluja and K. Kinoshita, “On low-capture-power test generation for scan testing,” in proc. VLSI Test Symposium (VTS’05), pp. 265-270, 2005.

    Google Scholar 

  21. 21. X. Wen, S. Kajihara, K. Miyase, T. Suzuki, K. K. Saluja, L. T. Wang, K. S. Abdel-Hafez and K. Kinoshita, “A New ATPG Method for Efficient Capture Power Reduction During Scan Testing,” in proc. VLSI Test Symposium (VTS’06), 2006.

    Google Scholar 

  22. 22. N. Ahmed, M. Tehranipoor and V. Jayaram, “A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-Drop Effects,” to appear in Int. Conf. on Computer-Aided Design (ICCAD’06), 2006.

    Google Scholar 

  23. 23. N. Ahmed, M. Tehranipoor and V. Jayaram, “Supply Voltage Noise Aware ATPG for Transition Delay Faults,” in Proc. IEEE VLSI Test Symposium (VTS’07), 2007.

    Google Scholar 

  24. 24. N. Ahmed, M. Tehranipoor and V. Jayaram, “Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design,” in Proc. Design Automation Conference (DAC07), 2007.

    Google Scholar 

  25. 25. J. Saxena, K. M. Butler, V. Jayaram, S. Kundu, N. V. Arvind, P. Sreeprakash and M. Hachinger, “A Case Study of IR-Drop in Structured At-Speed Testing,” in Proc. International Test Conference (ITC’03), pp. 1098 - 1104, Oct. 2003.

    Google Scholar 

  26. 26. Synopsys Inc., “User Manuals for SYNOPSYS Toolset Version 2005.09,” Synopsys, Inc., 2005.

    Google Scholar 

  27. 27. Cadence Inc., “User Manuals for Cadence Encounter Tool set Version 2004.10,” Cadence, Inc., 2004.

    Google Scholar 

  28. 28. http://crete.cadence.com, 0.18µm standard cell GSCLib library version 2.0, Cadence, Inc., 2005.

Download references

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

(2008). IR-drop Tolerant At-speed Test Pattern Generation. In: Nanometer Technology Designs High-Quality Delay Tests. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-75728-5_9

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-75728-5_9

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-76486-3

  • Online ISBN: 978-0-387-75728-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics