Skip to main content
  • 517 Accesses

As technology scales, new subtle defects are seen during fabrication which can have significant impacts on yield and reliability of the shipped products. Resistive open and short are two such defects that cause timing or logic failures in the design. Such defects can cause gross or small delay defects depending on the size of their resistance. It is proven that the population of such defects increases as technology scales, thus increasing small delay defects.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. 1. X. Lin, R. Press, J. Rajski, P. Reuter, T. Rinderknecht, B. Swanson and N. Tamarapalli, “High-Frequency, At-Speed Scan Testing,” IEEE Design & Test of Computers, pp. 17-25, Sep-Oct 2003.

    Google Scholar 

  2. 2. V. Jayaram, J. Saxena and K. Butler, Scan-Based Transition-Fault Test Can Do Job, EE Times, Oct. 2003.

    Google Scholar 

  3. K. Cheng, “Transition Fault Testing for Sequential Circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 12, no. 12, pp. 1971–1983, Dec. 1993.

    Article  Google Scholar 

  4. 4. T. M. Mak, A. Krstic, K. Cheng and L. Wang, “New challenges in delay testing of nanometer, multigigahertz designs,” IEEE Design & Test of Computers, pp. 241-248, May-Jun 2004.

    Google Scholar 

  5. 5. M. Bushnell and V. Agrawal, Essentials of Electronics Testing, Kluwer Publishers, 2000.

    Google Scholar 

  6. 6. Cadence Inc., “http://www.cadence.com,”, 2005.

  7. 7. Synopsys Inc., “User Manuals for SYNOPSYS Toolset Version 2004.06,” Synopsys, Inc., 2004.

    Google Scholar 

  8. 8. H. Hao and E.J. McCluskey, “Very-low-voltage testing for weak CMOS logic ICs,” in Proc. Int. Test Con. (ITC’93), pp. 275-284, 1993.

    Google Scholar 

  9. R. Foster, “Why Consider Screening, Burn-In, and 100-Percent Testing for Commercial Devices?,” IEEE Transactions on Manufacturing Technology, vol. 5, no. 3, pp. 52–58, 1976.

    Article  Google Scholar 

  10. 10. N. Ahmed, M. Tehranipoor and V. Jayaram, “Timing-Based Delay Test for Screening Small Delay Defects,” in Proc. Design Automation Conference (DAC06), 2006

    Google Scholar 

  11. 11. N. Ahmed, M. Tehranipoor and V. Jayaram, “A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-Drop Effects,” in Proc. Int. Conf. on Computer-Aided Design (ICCAD’06), 2006.

    Google Scholar 

  12. 12. X. Lin, et. al, “Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects,” in Proc. IEEE Asian Test Symposium (ATS’06), 2006.

    Google Scholar 

  13. 13. S. Kundu, P. Engelke, I. Polian and B. Baker, “On detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing,” to appear in IEEE Asian Test Symp. (ATS’05), 2005.

    Google Scholar 

  14. 14. P. Gupta and M. S. Hsiao, “ALAPTF: A new transition fault model and the ATPG algorithm,” in Proc. Int. Test Conf. (ITC’04), pp. 1053-1060, 2004.

    Google Scholar 

  15. A. K. Majhi, V. D. Agrawal, J. Jacob, L. M. Patnaik, “Line coverage of path delay faults,” IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 8, no. 5, pp. 610–614, 2000.

    Article  Google Scholar 

  16. 16. W. Qiu, J. Wang, D. M. H. Walker, D. Reddy, X. Lu, Z. Li, W. Shi and H. Balichandran, “K Longest Paths Per Gate (KLPG) Test Generation for Scan-Based Sequential Circuits,” in Proc. Int. Test Conf. (ITC’04), pp. 223-231, 2004.

    Google Scholar 

  17. 17. B. Kruseman, A. K. Majhi, G. Gronthoud and S. Eichenberger, “On hazard-free patterns for fine-delay fault testing,” in Proc. Int. Test Conf. (ITC’04), pp. 213-222, 2004.

    Google Scholar 

  18. 18. J. Saxena, K. M. Butler, V. B. Jayaram, N. V. Arvind, P. Sreeprakash and M. Hachingerr, “A Case Study of IR-Drop in Structured At-Speed Testing,” in Proc. Int. Test Conf. (ITC’03), pp. 1098-1104, 2003.

    Google Scholar 

  19. 19. J. Rearick and R. Rodgers, “Calibrating Clock Stretch During AC Scan Testing,” in Proc. Int. Test Conf. (ITC’05), 2005.

    Google Scholar 

  20. 20. B. Benware, C. Schuermyer, N. Tamarapalli, Kun-Han Tsai, S. Ranganathan, R. Madge, J. Rajski and P. Krishnamurthy, “Impact of multiple-detect test patterns on product quality,” in Proc. Int. Test Conf. (ITC’03), pp. 1031-1040, 2003.

    Google Scholar 

  21. 21. B.N. Lee, L. C. Wang and M. S. Abadir, “Reducing pattern delay variations for screening frequency dependent defects,” in Proc. VLSI Test Symp. (VTS’05), pp. 153-160, 2005.

    Google Scholar 

Download references

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

(2008). Screening Small Delay Defects. In: Nanometer Technology Designs High-Quality Delay Tests. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-75728-5_7

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-75728-5_7

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-76486-3

  • Online ISBN: 978-0-387-75728-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics