Skip to main content
  • 725 Accesses

Modern Very Large Scale Integration (VLSI) designs require extensive testing to ensure the shipped product will function correctly when it reaches the consumer. Transition delay fault testing is one of many commonly used testing techniques. However, it is a structural-based test and the chip may suffer from overtesting. A simple method to avoid overtesting is to identify the faults that are functionally untestable and omit the faults from the fault list but automatic test pattern generation (ATPG) tool may incidentally detect these faults when filling in don't-care states. The percentage of don't-care bits in a test pattern can be very high in large designs, about 99%. These don't-care bits are either filled randomly to provide higher defect coverage by increasing the chance of detecting non-modeled faults or filled by compression tools to obtain the highest compression to reduce test data volume and test time. However, filling these don't-care bits without considering the functionally untestable faults can cause yield loss.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. 1. Jeff Rearick, “Too Much Delay Fault Coverage Is a Bad Thing,” in Proc. Inter-national Test Conference (ITC), pp. 624-633, 2001.

    Google Scholar 

  2. 2. Xiao Liu and Michael S. Hsiao, “On Identifying Functionally Untestable Transition Faults,” IEEE Intl. High-Level Design Validation and Test Workshop, pp. 121-126, 2004.

    Google Scholar 

  3. 3. Mahesh A. Iyer and David E. Long and Miron Abramovici, “Identifying Sequential Redundancies Without Search,” in Proc. Design Automation Conf. (DAC), pp. 457-462, 1996.

    Google Scholar 

  4. 4. Xiao Liu and Michael S. Hsiao, “A Novel Transition Fault ATPG That Reduces Yield Loss,” IEEE Design & Test of Computers, pp. 576-584, 2005.

    Google Scholar 

  5. 5. Zhou Zhang and Sudhakar M. Reddy and Irith Pomeranz, “On Generating Pseudo-Functional Delay Fault Tests for Scan Designs,” in Proc. IEEE Intl. Symposium on Defect and Fault Tolerance in VLSI Systems, pp. 398-405, 2005.

    Google Scholar 

  6. 6. Synopsys Inc., “User Manuals for Synopsys Toolset Version 2005.09,” Synopsys Inc., 2005.

    Google Scholar 

  7. 7. Jian-Kun Zhao and Jeffrey A. Newquist and Janak H. Patel, “A Graph Traversal Based Framework for Sequential Logic Implication with an Application to C-Cycle Redundancy Identification,” in Proc. Intl. Conf. on VLSI Design, pp. 163-169, 2001.

    Google Scholar 

  8. 8. Mana Syal and Rajat Arora and Michael S. Hsiao, “Extended Forward Implications and Dual Recurrence Relations to Identify Sequentially Untestable Faults,” in Proc. Intl. Conf. on Computer Design, 2005.

    Google Scholar 

  9. Mahesh A. Iyer and Miron Abramovici, “FIRE: A Fault-Independent Combinational Redundancy Identification Algorithm,” IEEE Transactions on VLSI Systems, vol. 4, no. 2, 1996, pp. 295-301.

    Article  Google Scholar 

  10. 10. J. Savir, “Skewed-Load Transition Test: Part I, Calculus,” in Proc. Intl. Test Conference, pp. 705-713, 1992.

    Google Scholar 

  11. 11. J. Savir and S. Patil, “On Broad-Side Delay Test,” in Proc. IEEE VLSI Test Symposium, pp. 284-290, 1994.

    Google Scholar 

  12. 12. B. Dervisoglu and G. Stong, “Design for Testability: Using Scanpath Techniques for Path-Delay Test and Measurement,” in Proc. Intl. Test Conference, pp. 365-374, 1991.

    Google Scholar 

  13. 13. Yung-Chieh Lin and Feng Lu and Kai Yang and Kwang-Ting Cheng, “Constraint Extraction for Pseudo-Functional Scan-based Delay Testing,” in Proc. Asia and Sourth Pacific Design Automation Conference, pp. 166-171, 2005.

    Google Scholar 

Download references

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

(2008). Avoiding Functionally Untestable Faults. In: Nanometer Technology Designs High-Quality Delay Tests. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-75728-5_6

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-75728-5_6

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-76486-3

  • Online ISBN: 978-0-387-75728-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics