Skip to main content
  • 541 Accesses

As the technology shrinks and working frequency is already in multi gigahertz range, design and test of interconnects are no longer trivial issues. Stuck-at fault model can detect bridge and open faults. But, transient, timing, and noise related faults cannot be detected using traditional stuck-at and delay test patterns. New design-for-test (DFT) methods and pattern generation algorithms are required to effectively consider such faults in high-speed designs. The issues related to interconnect design and test will soon become dominant as technology scales going into sub-50nm. Specifically, various issues of signal integrity loss including detection and diagnosis are becoming a great challenge.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. 1. N. Weste and K. Eshraghian, Principles of CMOS VLSI Design: A Systems Perspective, Addisson-Wesley, 1993.

    Google Scholar 

  2. 2. L. Green, “Simulation, Modeling and Understanding the Importance of Signal Integrity,” IEEE Circuit and Devices Magazine, pp. 7-10, Nov. 1999.

    Google Scholar 

  3. 3. S. Natarajan, M.A. Breuer, S.K. Gupta, “Process variations and their impact on circuit operation,” in Proc. IEEE Int. Symp. on Defect and Fault Tolerance in VLSI Systems, pp. 73-81, 1998.

    Google Scholar 

  4. 4. A. Sinha, S.K. Gupta and M.A. Breuer, “Validation and Test Issues Re lated to Noise Induced by Parasitic Inductances of VLSI Interconnects,” in Proc. IEEE Trans. on Advanced Packaging, pp. 329-339, 2002.

    Google Scholar 

  5. 5. X. Bai, S. Dey and J. Rajski, “Self-Test Methodology for At-Speed Test of Crosstalk in Chip Interconnects,” in Proc. Design Automation Conf. (DAC’00), pp. 619-624, 2000.

    Google Scholar 

  6. 6. L. Chen, X. Bai and S. Dey, “Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores,” in Proc. Design Automation Conf. (DAC’01), pp. 317-322, 2001.

    Google Scholar 

  7. 7. IEEE Standard 1149.1-2001,“Standard Test Access Port and Boundary-Scan Architecture”, IEEE Standards Board, 2001.

    Google Scholar 

  8. 8. M. Bushnell, V. Agrawal, Essentials of Electronics Testing, Kluwer Publishers, 2000.

    Google Scholar 

  9. 9. M. Cuviello, S. Dey, X. Bai and Y. Zhao, “Fault Modeling and Simulation for Crosstalk in System-on-Chip Interconnects,” in Proc. Intern. Conf. on Computer Aided Design (ICCAD’99), pp. 297-303, 1999.

    Google Scholar 

  10. 10. Y. Zhao and S. Dey, “Analysis of Interconnect Crosstalk Defect Coverage of Test Sets,” in Proc. Int. Test Conf. (ITC’00), pp. 492-501, 2000.

    Google Scholar 

  11. 11. Nagaraj NS, P. Balsara and C. Cantrell, “Crosstalk Noise Verification in Digital Designs with Interconnect Process Variations,” in Proc. Int. Conf. on VLSI Design, pp. 365-370, 2001.

    Google Scholar 

  12. 12. W. Chen, S. Gupta and M. Breuer, “Analytic Models for Crosstalk Delay and Pulse Analysis Under Non-Ideal Inputs,” in Proc. Int. Test Conf. (ITC’97), pp. 809-818, 1997.

    Google Scholar 

  13. 13. S. Naffziger, “Design Methodologies for Interconnects in GHz+ ICs,” Tutorial Lecture in Int. Solid-State Conf., 1999.

    Google Scholar 

  14. Y. Cao, et al, “Effective On-Chip Inductance Modeling for Multiple Signal Lines and Application to Repeater Insertion,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 10, no. 6, pp. 799-805, Dec. 2002.

    Article  Google Scholar 

  15. 15. W. Chen, S. Gupta and M. Breuer, “Test Generation for Crosstalk-Induced Delay in Integrated Circuits,” in Proc. Int. Test Conf. (ITC’99), pp. 191-200, 1999.

    Google Scholar 

  16. 16. W. Chen, S. Gupta and M. Breuer, “Test Generation in VLSI Circuits for Crosstalk Noise,” in Proc. Intern. Test Conf. (ITC’98), pp. 641-650, 1998.

    Google Scholar 

  17. 17. Y. Zhao, L. Chen and S. Dey, “On-line Testing of Multi-source Noise- induced Errors on the Interconnects and Buses of System-on-Chips,” in Proc. Int. Test Conf. (ITC’02), pp. 491-499, 2002.

    Google Scholar 

  18. 18. S. Yang, C. Papachristou, and M. Tabib-Azar, “Improving Bus Test Via IDDT and Boundary Scan,” in Proc. Design Automation Conf. (DAC’01), pp. 307-312, 2001.

    Google Scholar 

  19. 19. International Technology Roadmap for Semiconductors 2001 (http://public.itrs.net).

  20. 20. I. Rayane, J. Velasco-Medina and M. Nicolaidis, “A Digital BIST for Operational Amplifiers Embedded in Mixed-Signal Circuits,” in Proc. VLSI Test Symp. (VTS’99), pp. 304-310, 1999.

    Google Scholar 

  21. 21. S. Tabatabaei and A. Ivanov, “An Embedded Core for Sub-Picosecond Timing Measurements,” in Proc. Int. Test Conf. (ITC’02), pp. 129-137, Oct. 2002.

    Google Scholar 

  22. F. Caignet, S. Delmas-Bendhia and E. Sicard, “The Challenge of Signal Integrity in Deep-Submicrometer CMOS Technology,” in Proc. of the IEEE, vol. 89, no. 4, pp. 556-573, April 2001.

    Article  Google Scholar 

  23. 23. M. H. Tehranipour, N. Ahmed and M. Nourani, “Testing SoC Interconnects for Signal Integrity Using Boundary Scan”, in Proc. VLSI Test Symposium (VTS’03), pp. 163-168, 2003.

    Google Scholar 

  24. 24. C. Chiang and S. K. Gupta, “BIST TPGs for Faults in Board Level Inter-connect via Boundary Scan”, in Proc. VLSI Test Symposium (VTS’97), pp. 376-382, 1997.

    Google Scholar 

  25. 25. K. Lofstrom, “Early Capture for Boundary Scan Timing Measurement”, Proc. ITC, pp. 417-422, 1996.

    Google Scholar 

  26. 26. J. Shin, H. Kim and S. Kang, “At-Speed Boundary-Scan Interconnect Testing in a Board with Multiple System Clocks”, in Proc. Design, Automation and Test in Europe (DATE’99), pp. 473-477, 1999.

    Google Scholar 

  27. 27. IEEE P1500 standard, http://grouper.ieee.org/groups/1500/.

  28. 28. IEEE 1149.4 standard, http://grouper.ieee.org/groups/1149/4/.

  29. 29. L. Whetsel, “Proposal to Simplify Development of a Mixed Signal Test Standard,” in Proc. Int. Test Conf. (ITC’96), pp. 400-409, 1996.

    Google Scholar 

  30. 30. IEEE 1149.6 Working Group, http://grouper.ieee.org/groups/1149/6/, 2002.

  31. 31. N. Ahmed, M. H. Tehranipour and M. Nourani, “Extending JTAG for Testing Signal Integrity in SoCs”, in Proc. Design, Automation and Test in Europe (DATE’03), pp. 218-223, 2003.

    Google Scholar 

  32. M. Tehranipour, N. Ahmed and M. Nourani, “Testing SoC Interconnects for Signal Integrity Using Extended JTAG Architecture,” IEEE Transactions on CAD, vol. 23, issue 5, pp. 800-811, May 2004.

    Google Scholar 

  33. 33. J. Wakerly, Digital Design, Principles and Practices, Prentice Hall, 2000.

    Google Scholar 

  34. 34. S. Naffziger, “Design Methodologies for Interconnect in GHz+ ICs,” Tutorial, ISSCC 1999.

    Google Scholar 

  35. 35. S. Kajihara, K. Taniguchi, I. Pomeranz and S. M. Reddy, “Test Compression using Don’t care Identification and Statistical Encoding,” in Proc. IEEE Int. Workshop on Electronic Design, Test and Application (DELTA’02), pp. 413-416, 2002.

    Google Scholar 

  36. 36. A. Chandra and K. Chakrabarty, “Test Data Compression for System-on-Chip Using Golomb Codes,” in Proc. VLSI Test Symp. (VTS’00), pp. 113-120, 2000.

    Google Scholar 

  37. 37. S. Wang and S. Chiou, “Generating Efficient Tests for Continuous Scan,” in Proc. Design Automation Conf. (DAC’01), pp. 162-165, 2001.

    Google Scholar 

  38. 38. OEA International, Inc., http://www.oea.com.

  39. 39. TI-SPICE3 User’s and reference manual, 1994 Texas Instrument Incorporation, 1994.

    Google Scholar 

  40. 40. Synopsys Design Analyzer, “User Manual for SYNOPSYS Toolset Version 2000.05-1,” Synopsys, Inc., 2000.

    Google Scholar 

  41. 41. M. Celik, L. Pileggi and A. Odabasioglu, IC Interconnect Analysis, Kluwer Publishers, 2002.

    Google Scholar 

Download references

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

(2008). Testing SoC Interconnects for Signal Integrity. In: Nanometer Technology Designs High-Quality Delay Tests. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-75728-5_12

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-75728-5_12

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-76486-3

  • Online ISBN: 978-0-387-75728-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics