Skip to main content

Pushing ASIC Performance in a Power Envelope

  • Chapter
Closing the Power Gap Between ASIC & Custom

Power dissipation is becoming the most challenging design constraint in nanometer technologies. Among various design implementation schemes, standard cell ASICs offer the best power efficiency for high-performance applications. The flexibility of ASICs allow for the use of multiple voltages and multiple thresholds to match the performance of critical regions to their timing constraints, and minimize the power everywhere else. We explore the trade-off between multiple supply voltages and multiple threshold voltages in the optimization of dynamic and static power.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Albrecht, C., Korte, B., Schietke, J., and Vygen, J., “Cycle Time and Slack Optimization for VLSI-Chips, ” proceedings of the International Conference on Computer-Aided Design, 1999, pp. 232-238.

    Google Scholar 

  2. Beeftink, F., Kudva, P., Kung, D., Puri, R., and Stok, L., “Combinatorial cell design for CMOS libraries, ” Integration: the VLSI Journal, vol. 29, 2000, pp. 67-93.

    Article  MATH  Google Scholar 

  3. Bergamaschi, R. A., et al., “High-level Synthesis in an Industrial Environment, ” IBM Journal of Research and Development, vol. 39, 1995.

    Google Scholar 

  4. Bernstein, K., et al., High Speed CMOS Design Styles, Kluwer Academic Publishers, Boston, 1998.

    MATH  Google Scholar 

  5. Chen, C., Srivastava, A., and Sarrafzadeh, M., “On gate level power optimization using dual-supply voltages, ” IEEE Transactions on VLSI Systems, vol. 9, Oct. 2001, pp. 616-629.

    Article  Google Scholar 

  6. Correale, A., Pan, D., Lamb, D., Wallach, D., Kung, D., and Puri, R., “Generic Voltage Island: CAD Flow and Design Experience, ” Austin Conference on Energy Efficient Design, March 2003 (IBM Research Report).

    Google Scholar 

  7. Davis, W. R., et al., “A Design Environment for High Throughput, Low Power, Dedi-cated Signal Processing Systems, ” proceedings of the Custom Integrated Circuits Confe-rence, 2001, pp. 545.

    Google Scholar 

  8. Trevillyan, L., Kung, D., Puri, R., Kazda, M., and Reddy, L., “An integrated environment for technology closure of deep-submicron IC Designs, ” IEEE Design & Test of Computers, vol. 21, no. 1, February 2004, pp. 14-22.

    Article  Google Scholar 

  9. Fishburn, J. P., “Clock Skew Optimization, ” IEEE Transactions on Computers, vol. C-39, 1990, pp. 945-951.

    Article  Google Scholar 

  10. Hamada, M., et al., “A top-down low power design technique using clustered voltage scaling with variable supply-voltage scheme, ” proceedings of the Custom Integrated Circuits Conference, 1998, pp. 495-498.

    Google Scholar 

  11. Hamada, M., Ootaguro, Y., and Kuroda, T., “Utilizing surplus timing for power reduction, ” proceedings of the Custom Integrated Circuits Conference, 2001, pp. 89-92.

    Google Scholar 

  12. Hetzel, A., “A sequential detailed router for huge grid graphs, ” proceedings of Design Automation and Test in Europe, 1998, pp. 332.

    Google Scholar 

  13. Hojat, S., et al., “An integrated placement and synthesis approach for timing closure of PowerPCTM microprocessors, ” proceedings of the International Conference on Computer Design, 1997, pp. 206.

    Google Scholar 

  14. Hwang, K., Computer Arithmetic: Principles, Architecture, and Design, Wiley Publishers, 1979.

    Google Scholar 

  15. Krishnamoorthy, R., et al., “Dual supply voltage clocking for 5GHz 130nm integer execution core, ” proceedings of the Custom Integrated Circuits Conference, 2002, pp. 128-129.

    Google Scholar 

  16. Kosonocky, S., et al., “Low Power Circuits and Technology for wireless digital systems, ” IBM Journal of Research and Development, vol. 47, no. 2/3, 2003.

    Article  Google Scholar 

  17. Kudva, P., Kung, D., Puri, R., and Stok, L., “Gain based Synthesis, ” International Confe-rence on Computer-Aided Design tutorial, 2000.

    Google Scholar 

  18. Kulkarni, S. H., and Sylvester, D., “High performance level conversion for dual VDD design, ” IEEE Transactions on VLSI Systems, vol. 12, 2004, pp. 926-936.

    Article  Google Scholar 

  19. Lackey, D., et al., “Managing Power and Performance for SOC Designs using voltage islands, ” proceedings of the International Conference on Computer-Aided Design, 2002.

    Google Scholar 

  20. Puri, R., D’souza, E., Reddy, L., Scarpero, W., and Wilson, B., “Optimizing Power-Performance with Multi-Threshold Cu11-Cu08 ASIC Libraries, ” Austin Conference on Energy Efficient Design, March 2003 (IBM Research Report).

    Google Scholar 

  21. Puri, R., Pan, D., and Kung, D., “A Flexible Design Approach for the Use of Dual Supply Voltages and Level Conversion for Low-Power ASIC Design, ” Austin Conference on Energy Efficient Design, March 2003 (IBM Research Report).

    Google Scholar 

  22. Rohrer, N., et al., “A 480MHz RISC microprocessor in a 0. 12µm Leff CMOS technology with copper interconnects, ” proceedings of the International Solid State Circuits Confe-rence, 1998, pp. 240-241.

    Google Scholar 

  23. Sakurai, T., and Newton, R., “Alpha-power law MOSFET model and its application to CMOS inverter delay and other formulas, ” IEEE Journal of Solid-State Circuits, vol. 25, no. 2, April 1990, pp. 584-593.

    Article  Google Scholar 

  24. Sakurai, T., and Newton, R., “Delay Analysis of Series-Connected MOSFET Circuits, ” IEEE Journal of Solid-State Circuits, vol. 26, no. 2, February 1991, pp. 122-131.

    Article  Google Scholar 

  25. Sirichotiyakul, S., et al., “Standby power minimization through simultaneous threshold voltage selection and circuit sizing, ” proceedings of the Design Automation Conference, 1999, pp. 436-441.

    Google Scholar 

  26. Srivastava, A., and Sylvester, D., “Minimizing total power by simultaneous Vdd/Vth assignment, ” IEEE Transactions on CAD, vol. 23, 2004, pp. 665-677.

    Google Scholar 

  27. Stok, et al., L., “BooleDozer Logic Synthesis for ASICs, ” IBM Journal of Research and Development, vol. 40, no. 3/4, 1996.

    Google Scholar 

  28. Sunderland, D. A., et al, “Second Generation Megagate ASICs for the SPACEWAYTM Satellite Communications Payload, ” NASA Symposium on VLSI Design, May 2003.

    Google Scholar 

  29. Sylvester, D., and Kaul, H., “Future performance challenges in nanometer design, ” proceedings of the Design Automation Conference, 2001, pp. 3-8.

    Google Scholar 

  30. Szymanski, T. G., “Computing Optimal Clock Schedules, ” proceedings of the Design Automation Conference, 1992, pp. 399-404.

    Google Scholar 

  31. Taur, Y., “CMOS Design near the limit of scaling, ” IBM Journal of Research and Deve-lopment, vol. 46, no. 2/3, 2002.

    Google Scholar 

  32. Usami, K., et al., “Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor, ” IEEE Journal of Solid-State Circuits, vol. 33, no. 3, 1998.

    Article  Google Scholar 

  33. Usami, K., and Horowitz, M., “Clustered voltage scaling techniques for low-power Design, ” proceedings of the International Symposium on Low Power Electronics and Design, 1995.

    Google Scholar 

  34. Wang, Q., and Vrudhula, S., “Algorithms for minimizing standby power in deep sub-micron, dual-Vt CMOS circuits, ” IEEE Transactions on CAD, vol. 21, 2002, pp. 306-318.

    Google Scholar 

  35. Yeh, C., et al., “Layout Techniques supporting the use of Dual Supply Voltages for Cell-based Designs, ” proceedings of the Design Automation Conference, 1999.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Stok, L. et al. (2007). Pushing ASIC Performance in a Power Envelope. In: Closing the Power Gap Between ASIC & Custom. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-68953-1_13

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-68953-1_13

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-25763-1

  • Online ISBN: 978-0-387-68953-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics