Skip to main content

Locality and Utilization in Placement Suboptimality

  • Chapter
Modern Circuit Placement

Part of the book series: Series on Integrated Circuits and Systems ((ICIR))

Placement is a critical step in VLSI design. Interconnect delay dominates system performance, and placement determines the interconnect more than any other step in physical design. The complexity of modern designs, however, makes estimation of suboptimality difficult [14, 16, 28]. Studies on simplified, synthetic benchmarks with known optimal-wire length placements (PEKO [7]) initially suggested that many leading tools may produce solutions with excess wire length from 60% up to 150% or more. These results have generated wide interest in both industry [13] and academia [19, 22, 28]. Recent progress in placement [1, 5, 6, 17] has reduced the wire length gap on PEKO to about 12–40%.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. S.N. Adya, S. Chaturvedi, J.A. Roy, D.A. Papa, and I.L. Markov. Unification of partitioning, placement and floorplanning. In Proc. Int. Conf. on Comp.-Aided Design, pages 12-17, 2004

    Google Scholar 

  2. C.J. Alpert. The ISPD98 circuit benchmark suite. In Proc. Int. Symp. on Phys. Design, pages 80-85, 1998

    Google Scholar 

  3. G. Andrews and K. Eriksson. Integer Partitions. Cambridge University Press, 2004

    Google Scholar 

  4. U. Brenner, A. Pauli, and J. Vygen. Almost optimum placement legalization by minimum cost flow and dynamic programming. In Proc. Int. Symp. on Phys. Design, pages 2-8, 2004

    Google Scholar 

  5. U. Brenner and M. Struzyna. Faster and better global placement by a new transportation algorithm. In Proc. Design Automation Conf., pages 591-596, 2005

    Google Scholar 

  6. T.F. Chan, J. Cong, and K. Sze. Multilevel generalized force-directed method for circuit placement. In Proc. Int. Symp. on Phys. Design, pages 185-192, 2005

    Google Scholar 

  7. C. Chang, J. Cong, M. Romesis, and M. Xie. Optimality and scalability study of existing placement algorithms. IEEE Trans. on Comp.-Aided Design of Integrated Circuits and Sys., pages 537-549, 2004

    Google Scholar 

  8. C. Chu and N. Viswanathan. FastPlace: Efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model. In Proc. Int. Symp. on Phys. Design, pages 26-33, April 2004

    Google Scholar 

  9. J. Cong, M. Romesis, and M. Xie. Optimality, scalability and stability study of partitioning and placement algorithms. In Proc. Int. Symp. on Phys. Design, pages 88-94, 2003

    Google Scholar 

  10. J. Cong, J.R. Shinnerl, M. Xie, T. Kong, and X. Yuan. Large-scale circuit placement. ACM Trans. on Design Automation of Electronic Systems, 10(2):389-430, 2005

    Article  Google Scholar 

  11. J. Cong and M. Xie. A robust detailed placement for mixed-size IC designs. In Proc. Asia South Pacific Design Automation Conf., pages 188-194, 2006

    Google Scholar 

  12. K. Doll, F.M. Johannes, and K.J. Antreich. Iterative placement improvement by network flow methods. IEEE Trans. on Computer-Aided Design, 13(10), October 1994

    Google Scholar 

  13. R. Goering. Placement tools criticized for hampering IC designs. EE Times, February 5, 2003 http://www.eedesign.com/story/OEG20030205S0014

  14. L.W. Hagen, D.J.-H. Huang, and A.B. Kahng. Quantified suboptimality of VLSI layout heuristics. In Proc. Design Automation Conf., pages 216-221, 1995

    Google Scholar 

  15. C.-S. Hwang and M. Pedram. PMP: Performance-driven multilevel partitioning by aggregating the preferred signal directions of i/o conduits. In Proc. Asia South Pacific Design Automation Conf., pages 428-431, January 2005

    Google Scholar 

  16. A.B. Kahng and S. Reda. Evaluation of placer suboptimality via zero-change netlist transformations. In Proc. Int. Symp. on Phys. Design, pages 208-215, April 2005

    Google Scholar 

  17. A.B. Kahng, S. Reda, and Q. Wang. Architecture and details of a high quality, large-scale analytical placer. In Proc. Int. Conf. on Comp.-Aided Design, November 2005

    Google Scholar 

  18. A.B. Kahng, P. Tucker, and A. Zelikovsky. Optimization of linear placements for wirelength minimization with free sites. In Proc. Asia South Pacific Design Automation Conf., pages 241-244, 1999

    Google Scholar 

  19. Q. Liu and M. Marek-Sadowska. A study of netlist structure and placement efficiency. In Proc. Int. Symp. on Phys. Design, pages 198-203, 2004

    Google Scholar 

  20. G.-J. Nam. The ISPD2006 placement contest and benchmark suite, April 2006 http://www.sigda.org/ispd2006/papers/7-3.pdf

  21. G.-J. Nam, C.J. Alpert, P. Villarrubia, B. Winter, and M. Yildiz. The ISPD2005 placement contest and benchmark suite. In Proc. Int. Symp. on Phys. Design, pages 216-220, April 2005

    Google Scholar 

  22. S. Ono and P.H. Madden. On structure and suboptimality in placement. In Proc. Asia South Pacific Design Automation Conf., January 2005

    Google Scholar 

  23. R. Otten, and R. Brayton. Planning for performance. In Proc. Design Automation Conf., pages 122-127, 1998

    Google Scholar 

  24. S. Ramji, and N. Dhanwada. Design topology aware physical metrics for placement analysis. In Proc. Great Lakes Symposium on VLSI, pages 186-191, 2003

    Google Scholar 

  25. M. Sarrafzadeh, M. Wang, and X. Yang. Modern Placement Techiques. Kluwer, Boston, 2002

    Google Scholar 

  26. W. Gosti, A. Narayan, R. Brayton, and A. Sangiovanni-Vincentelli. Wireplanning in logic synthesis. In Proc. Int. Conf. on Computer-Aided Design, pages 26-33, 1998

    Google Scholar 

  27. W. Gosti, S. Khatri, and A. Sangiovanni-Vincentelli. Addressing the timing closure problem by integrating logic optimization and placement. In Proc. Int. Conf. on ComputerAided Design, pages 224-231, 2001

    Google Scholar 

  28. Q. Wang, D. Jariwala, and J. Lillis. A study of tighter lower bounds in LP relaxation based placement. In ACM Great Lakes Symp. on VLSI, pages 498-502, 2005

    Google Scholar 

  29. http://www.sigda.org/ispd2005/contest.htm.

Download references

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Cong, J., Romesis, M., Shinnerl, J.R., Sze, K., Xie, M. (2007). Locality and Utilization in Placement Suboptimality. In: Nam, GJ., Cong, J. (eds) Modern Circuit Placement. Series on Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-68739-1_2

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-68739-1_2

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-36837-5

  • Online ISBN: 978-0-387-68739-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics