Skip to main content

Clocked Storage Elements in Digital Systems

  • Chapter
  • 1645 Accesses

Part of the book series: Series on Integrated Circuits and Systems ((ICIR))

Abstract

Clocking is one of the most critical parts of each processor, often determining its performance and largely impacting its power consumption. The clocking subsystem and clocked storage elements in particular are responsible for an increasingly substantial portion of the circuit design improvements needed to accommodate the continuing scaling trends with each processor generation. This chapter describes the conventional clocking strategies and circuit techniques, and reviews the state-of-the art clocked storage elements used in modern microprocessors. In addition, it addresses some emerging methods aimed at handling incoming challenges in the microprocessor design.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   89.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Anderson, C.J. et al. “Physical design of a fourth-generation POWER GHz microprocessor”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2001, 232–233, 451.

    Google Scholar 

  2. Heald, R. et al. “A third generation SPARC V9 microprocessor”, IEEE J. Solid-State Circuits, 2000, 35(11), 1526–1538.

    Article  Google Scholar 

  3. Hofstee, P. et al. “A 1-GHz single-issue 64b powerPC processor”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2000, 92–93.

    Google Scholar 

  4. Jain, A. et al. “A 1.2GHz Alpha microprocessor with 44.8GB/s chip pin bandwidth”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2001, 240–241.

    Google Scholar 

  5. Naffziger, S.D.; Colon-Bonet, G.; Fischer, T.; Riedlinger, R.; Sullivan, T.J.; Grutkowski, T. “The implementation of the Itanium 2 microprocessor”, IEEE J. Solid-State Circuits, 2002, 37(11), 1448–1460.

    Article  Google Scholar 

  6. Stinson, J.; Rusu, S. “A 1.5GHz third generation Itanium processor”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2003, 252–253.

    Google Scholar 

  7. Ando, H. et al. “A 1.3GHz fifth generation SPARC64 microprocessor”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2003, 246–247, 491.

    Google Scholar 

  8. Hart, J. et al. “Implementation of a 4th-generation 1.8GHz dual-core SPARC V9 microprocessor”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2005, 186–187.

    Google Scholar 

  9. Gronowski, P.E.; Bowhill, W.J.; Preston, W.J.; Gowan, R.P.; M.K.; Allmon, R.L. “High-performance microprocessor design”, IEEE J. Solid-State Circuits, 1998, 33(5), 676–686.

    Article  Google Scholar 

  10. Naffziger, S.; Stackhouse, B.; Grutkowski, T. “The implementation of a 2-core multithreaded Itanium family processor”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2005, 182–183, 592.

    Google Scholar 

  11. Sutherland, I.E. “Micropipelines”, Comm. ACM, 32, 1989, 32, 720–738.

    Article  Google Scholar 

  12. Furber, S.B.; Garside, J.D.; Gilbert, D.A. “AMULET3: a high-performance self-timed ARM microprocessor”, Proc. Int. Conf. on Computer Design, October 1998, 247–252.

    Google Scholar 

  13. Oklobdzija, V.G. “Clocking and clocked storage elements in a multi-gigahertz environment”, IBM J. Res. Dev., 2003, 47(5/6), 567–584.

    Article  Google Scholar 

  14. Burleson, W.P.; Ciesielski, M.; Klass, F.; Liu, W. “Wave-pipelining: A tutorial and research survey”, IEEE Trans. Very Large Scale Integration (VLSI) Systems, 1998, 6(3), 464–467.

    Article  Google Scholar 

  15. Harris, D.; Huang, S.C.; Nadir, J.; Chu, C-H.; Stinson, J.C.; Ilkbahar, A. “Opportunistic time-borrowing domino logic”, U.S. Patent No. 5,517,136, May 1996.

    Google Scholar 

  16. Mule’, A.V.; Glytsis, E.N.; Gaylord, T.K.; Meindl, J.D. “Electrical and Optical Clock Distribution Networks for Gigascale Microprocessors”, IEEE Trans. Very Large Scale Integration Systems, 2002, 10(5).

    Google Scholar 

  17. Unger, S.H.; Tan, C.J. “Clocking schemes for high-speed digital systems”, IEEE Trans. Computers, 1986, C-35(10), 880–895.

    Google Scholar 

  18. Oklobdzija, V.G.; Stojanovic, V.M.; Markovic, D.M.; Nedovic, N.M. Digital system clocking: high-performance and low-power aspects, New York: John Wiley, 2003.

    Google Scholar 

  19. Nedovic, N. “Clocked storage elements for high-performance applications”, Ph.D. thesis, University of California Davis, June 2003.

    Google Scholar 

  20. Dobberpuhl, D.W. et al. “A 200-MHz 64-b dual-issue CMOS microprocessor”, IEEE J. Solid-State Circuits, 1992, 27(11), 1555–1567.

    Article  Google Scholar 

  21. Stojanovic, V.; Oklobdzija, V.G. “Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems”, IEEE J. Solid-State Circuits, April 1999, 34(4), 536–548.

    Article  Google Scholar 

  22. Yuan, J.; Svensson, C. “High-speed CMOS circuit technique”, IEEE J. Solid-State Circuits, 1989, 24(1), 62–70.

    Article  Google Scholar 

  23. LSSD Rules and Applications, Manual 3531, Release 59.0, IBM Corporation, 29 March 1985.

    Google Scholar 

  24. Gerosa, G. et al. “A 2.2W, 80MHz superscalar RISC microprocessor”, IEEE J. Solid State Circuits, 1994, 29(12), 1440–1452.

    Article  Google Scholar 

  25. Partovi, H.; Burd, R.; Salim, U.; Weber, F.; DiGregorio, L.; Draper, D. “Flow-through latch and edge-triggered flip-flop hybrid elements”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 1996, 138–139.

    Google Scholar 

  26. Gieseke, B.A. et al. “A 600MHz superscalar RISC microprocessor with out-of-order execution”, IEEE Int. Solid-State Circuits Conf., February 1997, 176–177.

    Google Scholar 

  27. Bailey, D.W.; Benschneider, B.J. “Clocking design and analysis for a 600-MHz Alpha microprocessor”, IEEE J. Solid-State Circuits, 1998, 33(11).

    Google Scholar 

  28. Matsui, M.; Hara, H.; Uetani, Y. et al. “A 200 MHz 13 mm2 2-D DCT macrocell using sense-amplifying pipeline flip-flop scheme”, IEEE J. Solid-State Circuits, 1994, 29(12), 1482–1490.

    Article  Google Scholar 

  29. Klass, F. “Semi-dynamic and dynamic flip-flops with embedded logic”, Symp. on VLSI Circuits, Dig. Tech. Papers, June 1998, 108–109.

    Google Scholar 

  30. Klass, F.; Amir, C.; Das, A. et al. “Anew family of semidynamic and dynamic flip-flops with embedded logic for high-performance processors”, IEEE J. Solid-State Circuits, 1999, 34(5), 712–716.

    Article  Google Scholar 

  31. Nedovic, N.; Oklobdzija, V.G. “Dynamic flip-flop with improved power”, Proc. Int. Conf. on Computer Design, September 2000, 323–326.

    Google Scholar 

  32. Nedovic, N.; Oklobdzija, V.G.; Walker, W.W. “Aclock skew absorbing flip-flop”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2003, 342–343.

    Google Scholar 

  33. Tschanz, J.; Narendra, S.; Chen, Z.; Borkar, S.; Sachdev, M.; De, V. “Comparative delay and energy of single edge-triggered and dual edge-triggered pulsed flip-flops for high-performance microprocessors”, Int. Symp. Low Power Electronics and Design, Dig. Tech. Papers, August 2001, 147–152.

    Google Scholar 

  34. Earl, J. “Latched carry-save adder”, IBM Tech. Disclosure Bull., 1965, 7(10), 909–910.

    Google Scholar 

  35. Krambeck, R.H.; Lee, C.M.; Law, H.-F.S. “High-speed compact circuits with CMOS”, IEEE J. Solid-State Circuits, 1982, SC-17(3), 614–619.

    Article  Google Scholar 

  36. Oklobdzija, V.G.; Kovijanic, P.G. “On testability of CMOS-domino logic”, Proc. FTCS-14: 14th IEEE Int. Conf. on Fault-Tolerant Computing, 1984, 50–55.

    Google Scholar 

  37. Harris, D.; Horowitz, M. “Skew-tolerant domino circuits”, IEEE J. Solid-State Circuits, November 1997, 32(11), 1702–1711.

    Article  Google Scholar 

  38. Nogawa, M.; Ohtomo, Y. “A data-transition look-ahead DFF circuit for statistical reduction in power consumption,” IEEE J. Solid-State Circuits, 1998, 33(5), 702–706.

    Article  Google Scholar 

  39. Kong, B.-S.; Kim, S.-S.; Jun, J.-H. “Conditional capture flip-flop technique for statistical power reduction”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2000, 290–291, 465.

    Google Scholar 

  40. Nedovic, N.; Oklobdzija, V.G. “Improved hybrid latch flip-flop design”, Proc. 13th Symp. Integrated Circuits and Systems Design, September 2000, 211–215.

    Google Scholar 

  41. Nedovic, N.; Oklobdzija, V.G. “Dual-edge triggered storage elements and clocking strategy for low-power systems”, IEEE Trans. on Very Large Scale Integration Systems, 2005, 13(5), 577–590.

    Article  Google Scholar 

  42. Llopis, R.P.; Sachdev, M. “Low power, testable dual edge triggered flip-flops”, Int. Simp. Low Power Electronics and Design, Dig. Tech. Papers, 1996, 341–345.

    Google Scholar 

  43. Nedovic, N.; Oklobdzija, V.G.; Aleksic, M.; Walker, W.W. “Alow power symmetrically pulsed dual edge-triggered flip-flop”, Proc. 28th European Solid-State Circuits Conf., September 2002, 399–402.

    Google Scholar 

  44. Nikolic, B.; Stojanovic, V.; Oklobdzija, V.G.; Wenyan Jia, Chiu, J.; Leung, M. “Sense amplifier-based flip-flop”, IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 1999, 282–283.

    Google Scholar 

  45. Stojanovic, V.; Oklobdzija, V.G. FLIP-FLOP, US Patent No. 6,232,810, 15 May 2001.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer

About this chapter

Cite this chapter

Nedovic, N., Oklobdzija, V.G. (2006). Clocked Storage Elements in Digital Systems. In: Oklobdzija, V.G., Krishnamurthy, R.K. (eds) High-Performance Energy-Efficient Microprocessor Design. Series on Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-34047-0_3

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-34047-0_3

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-28594-8

  • Online ISBN: 978-0-387-34047-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics