Skip to main content

Petri nets and digital hardware design

  • IV Applications of Elementary Net Systems and Place/Transition Nets
  • Chapter
  • First Online:
Book cover Lectures on Petri Nets II: Applications (ACPN 1996)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 1492))

Included in the following conference series:

Abstract

Petri nets are a powerful language for describing processes in digital hardware, and particularly asynchronous or self-timed circuits. Self-timed circuits are designed to operate without the use of a global clock signal. Applications for such circuits are likely to increase during the next decade, due to problems with on-chip event coordination as VLSI technology approaches a density of one hundred million transistors per chip. Designing such circuits without help of formal tools does not seem to be possible. We present an overview of the methods for specification, verification and synthesis of asynchronous circuits with the aid of Petri nets. We present a number of design examples which are used to illustrate the authors' belief that Petri nets could become widely accepted by digital system designers as a design method.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. A. Amroun and M. Bolton. Synthesis of controllers from Petri Net descriptions and application of ELLA. In L. Claesen, editor, Proc. IMEC-IFIP Int. Workshop on Applied Formal Methods for Correct VLSI Design, pages 57–74.

    Google Scholar 

  2. A.G. Astanovsky, V. I. Varshavsky, V. B. Marakhovsky, V. A. Peschansky, L. Y. Rosenblum, N.A. Starodubcev, R.L. Finkelshtein, and B. S. Tzirlin. Aperiodic Automata. Nauka, 1976. in Russian.

    Google Scholar 

  3. E. Badouel, L. Bernardinello, and Ph. Darondeau. Polynomial algorithms for the synthesis of bounded nets. Technical Report 2316, INRIA, RENNES Cedex, France, 1994.

    Google Scholar 

  4. M. Ben Ari. Principles of Concurrent and Distributed Programming. Prentice Hall International, London, 1990.

    Google Scholar 

  5. L. Bernardinello and F. De Cindio. A survey of basic net models and modular net classes. In Lecture Notes in Computer Science, volume 609, pages 304–351, 1992.

    Google Scholar 

  6. G. Berthelot. Transformations and decompositions of nets. In Lecture Notes in Computer Science, Vol. 254, pages 359–376. Springer-Verlag, 1987.

    Google Scholar 

  7. K. Bilinski and E.L. Dagless. High level synthesis of synchronous parallel controllers. In Lecture Notes in Computer Science, Vol. 1091: Proceedings of the 17th Int. Conf. on Applications and Theory of Petri Nets, Osaka, pages 93–112. Springer Verlag, June 1996.

    Google Scholar 

  8. R. Brayton et al. Logic Minimisation Algorithms for VLSI Synthesis. Kluwer Academic Publishers, Hingham, MA, 1984.

    Google Scholar 

  9. R.W. Brockett. Analog and digital computing. In Lecture Notes in Computer Science, Vol. 653, pages 279–289. Springer-Verlag.

    Google Scholar 

  10. J. Bruno and S. Altman. A theory of asynchronous control networks. IEEE Transactions on Computers, 20(6):629–638, June 1971.

    Google Scholar 

  11. R. Bryant. Symbolic boolean manipulation with ordered binary-decision disgrams. ACM Computing Surveys, 24(3):293–318, September 1992.

    Google Scholar 

  12. J. A. Brzozowski and C-J. Seger. Advances in asynchronous circuit theory — part I: Gate and unbounded inertial delay models. Bulletin of the European Association of Theoretical Computer Science, October 1990.

    Google Scholar 

  13. S. Burns. General conditions for the decomposition of state holding elements. In International Symposium on Advanced Research in Asynchronous Circuits and Systems, Aizu, Japan, March 1996.

    Google Scholar 

  14. C. Carrion and A. Yakovlev. Design and Evaluation of Two Asynchronous Token Ring Adapters. Technical Report no. 562, Department of Computing Science, University of Newcastle upon Tyne, October 1996.

    Google Scholar 

  15. J.C. Cavarroc, M. Blanchard, and J. Gillon. An approach to the modular design of industrial switching systems. In Proceedings of the Int. Symp. on Discrete Systems, Riga, volume 3, pages 93–102, 1974.

    Google Scholar 

  16. K.M. Chandy and J. Misra. Parallel Program Design: A Foundation. Addison-Wesley, 1988.

    Google Scholar 

  17. T.J. Chaney and C.E. Molnar. Anomalous behavior of synchroniser and arbiter circuits. IEEE Transactions on Computers, C-22(4):421–422, April 1973.

    Google Scholar 

  18. T. A. Chu. On the models for designing VLSI asynchronous digital systems. Integration: the VLSI journal, 4:99–113, 1986.

    Google Scholar 

  19. T. A. Chu. Synthesis of Self-timed VLSI Circuits from Graph-theoretic Specifications. PhD thesis, MIT, June 1987.

    Google Scholar 

  20. T. A. Chu, C.Leung, and T.Wanuga. A design methodology for concurrent VLSI systems. In Proceedings of the International Conference on Computer Design, October 1985.

    Google Scholar 

  21. J. Cortadella, M. Kishinevsky, A. Kondratyev, L. Lavagno, and A. Yakovlev. Complete state encoding based on the theory of regions. In International Symposium on Advanced Research in Asynchronous Circuits and Systems, Aizu, Japan, March 1996.

    Google Scholar 

  22. J. Cortadella, M. Kishinevsky, A. Kondratyev, L. Lavagno, and A. Yakovlev. Petrify: a tool for manipulating concurrent specifications and synthesis of asynchronous controllers. In Proc. of the 11th Conf. Design of Integrated Circuits and Systems, pages 205–210, Barcelona, Spain, November 1996.

    Google Scholar 

  23. J. Cortadella, M. Kishinevsky, L. Lavagno, and A. Yakovlev. Synthesising Petri nets from state-based models. In Proc. of ICCAD'95, pages 164–171, November 1995.

    Google Scholar 

  24. J. Cortadella, A. Kondratyev, M. Kishinevsky, L. Lavagno, and A. Yakovlev. Technology mapping of speed-independent circuits based on combinational decomposition and resynthesis. In Proceedings of the European Design and Test Conference (ED&TC), pages 98–105, March 1997.

    Google Scholar 

  25. J. Cortadella, L. Lavagno, P. Vanbekbergen, and A. Yakovlev. Designing asynchronous circuits from behavioural specifications with internal conflicts. In International Symposium on Advanced Research in Asynchronous Circuits and Systems, Salt Lake City, Utah, pages 106–115, November 1994.

    Google Scholar 

  26. J.B. Dennis. First version of a data flow procedural language. In Lecture Notes in Computer Science, Vol.19, pages 362–376. Springer-Verlag, 1974.

    Google Scholar 

  27. J. Desel and W. Reisig. The synthesis problem of Petri nets. Technical Report TUM-I9231, Technische Universität München, September 1992.

    Google Scholar 

  28. D.L. Dill, S.M. Nowick, and R.F. Sproull. Automatic verification of speed-independent circuits with Petri net specifications. In Proceedings of Int. Conf. on Computer Design (ICCD'89), Cambridge, MA, October 1989.

    Google Scholar 

  29. Jo C. Ebergen and Ad M. G. Peeters. Design and analysis of delay-insensitive modulo-N counters. Formal Methods in System Design, 3(3), December 1993.

    Google Scholar 

  30. A. Ehrenfeucht and G. Rosenberg. A characterisation of set representable labeled partial 2-structures through decompositions. Acts Informatics, 28:83–94, 1990.

    Google Scholar 

  31. A. Ehrenfeucht and G. Rosenberg. Partial (Set) 2-Structures. Part I, II. Acts Informatica, 27:315–368, 1990.

    Google Scholar 

  32. P. Eles, K. Kuchcinski, Z. Peng, and M. Minea. Synthesis of VHDL concurrent processes. In Proceedings of Euro-DAC'94, pages 540–545.

    Google Scholar 

  33. J.C. Fernandez. An implementation of an efficient algorithm for bisimulation equivalence. Science of Computer Programming, 13(2–3), May 1990.

    Google Scholar 

  34. F.C. Furtek. Modular implementation of petri nets. Master's thesis, MIT, September 1971.

    Google Scholar 

  35. H.J. Genrich and R.M. Shapiro. Formal verification of an arbiter cascade. In Proceedings of 13th Int. Conferenece on Application and Theory of Petri Nets, Lecture Notes in Computer Science, Springer-Verlag, Berlin, 1992.

    Google Scholar 

  36. D.B. Gilles. A flow chart notation for the description of the speed-independent control. In Proceedings of the Second AIEE Symposium on Switching Circuit Theory and Logical Design, Detroit, Michigan, volume S-134, October 1961.

    Google Scholar 

  37. J. Grabowski. On the analysis of switching circuits be means of Petri nets. In Elektronische Informations-verarbeitung und Kybernetik, volume 14, pages 611–617. 1978.

    Google Scholar 

  38. M.R. Greenstreet and P.Cahoon. How fast will the flip flop? In International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 77–86, November 1994.

    Google Scholar 

  39. P. Grönberg, M.Tiusanen, and K.Varpaaniemi. PROD: — A Pr/T-net reachability analysis tool. Series B: Technical Reports 11, Helsinki University of Technology, June 1993.

    Google Scholar 

  40. M. Hack. Analysis of production schemata by Petri Nets. Technical Report TR 94, Project MAC, MIT, 1972.

    Google Scholar 

  41. M. H. T. Hack. Petri net languages. Technical Report TR-159, MIT, Laboratory of Computer Science, 1976.

    Google Scholar 

  42. S. Hauck. Asynchronous Design Methodologies. Proceedings of the IEEE, 83(1), 1995.

    Google Scholar 

  43. L. A. Hollaar. Direct implementation of asynchronous control units. IEEE Transactions on Computers, C-31(12):1133–1141, December 1982.

    Google Scholar 

  44. Henrik Hulgaard and Steven M. Burns. Bounded delay timing analysis of a class of CSP programs with choice. In Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 2–11, November 1994.

    Google Scholar 

  45. R. Janicki and M. Koutny. On equivalent execution semantics of concurrent systems. In Lecture Notes in Computer Science, Vol. 266. Springer-Verlag, 1987.

    Google Scholar 

  46. K. Jensen. Coloured Petri Nets. EATCS Monographs on Theoretical Computer Science. Springer Verlag, 1992.

    Google Scholar 

  47. C.R. Jesshope, I.M. Nedelchev, and C.G. Huang. Compilation of process algebra expressions into delay insensitive circuits. IEE Proceedings-E, 140(5):261–268, September 1993.

    Google Scholar 

  48. J.R. Jump. Asynchronous control arrays. IEEE Transactions on Computers, TC-23(10):1020–1029, October 1974.

    Google Scholar 

  49. J.R. Jump and P.S. Thiagarajan. On the interconnection of asynchronous control structures. Journal of ACM, (4):596–612, October 1975.

    Google Scholar 

  50. R.M. Karp and R.E. Miller. Parallel program schemata. Journal of Computer and System Sciences, 3(2):147–195, May 1969.

    Google Scholar 

  51. R.M. Keller. A fundamental theorem of asynchronous parallel computation. Lecture Notes in Computer Science, 24:103–112, 1975.

    Google Scholar 

  52. D.J. Kinniment. Regular programmable control structures. In Proceedings VLSI-81 (Ed. by J.P. Gray), Edinburgh, August 1981.

    Google Scholar 

  53. D.J. Kinniment. Evaluation of asynchronous adders. IEEE Transactions on VLSI Systems, 4(2), March 1996.

    Google Scholar 

  54. M. Kishinevsky, J. Cortadella, A. Kondratyev, L. Lavagno, A. Taubin, and A. Yakovlev. Coupling asynchrony and interrupts: place chart nets and their synthesis. In 18th International Conference on Application and Theory of Petri Nets, Toulouse, France, June 1997.

    Google Scholar 

  55. M. Kishinevsky, A. Kondratyev, A. Taubin, and V. Varshavsky. Concurrent Hardware: The Theory and Practice of Self-Timed Design. John Wiley and Sons, London, 1993.

    Google Scholar 

  56. A.M. Koelmans, L. Lloyd, A. Semenov and A. Yakovlev. PNIT: a framework for the design of (a)synchronous circuits using Petri nets. In Proc. ESPRIT ACiD-WG Workshop on Asynchronous Circuit Design, Groningen, September 1996 (TR CSN9602, Computer Science Notes Series, University of Groningen).

    Google Scholar 

  57. A.M. Koelmans, D.J. Kinniment, Y. Xu and A. Yakovlev, PNIF: An Interchange format for system specification with coloured Petri nets, Technical Report Series No. 538, University of Newcastle upon Tyne, Computing Science, November 1995.

    Google Scholar 

  58. A. Kondratyev, J. Cortadella, M. Kishinevsky, L. Lavagno, and A. Yakovlev. Technology mapping for speed-independent circuits: decomposition and resynthesis. In International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 240–253, April 1997.

    Google Scholar 

  59. A. Kondratyev, J. Cortadella, M. Kishinevsky, E. Pastor, O. Roig, and A. Yakovlev. Checking Signal Transition Graph implementability by symbolic BDD traversal. In European Design and Test Conference, Paris, pages 325–332. IEEE Comp. Society Press, N.Y., March 1995.

    Google Scholar 

  60. A. Kondratyev, M. Kishinevsky, B. Lin, P. Vanbekbergen, and A. Yakovlev. Basic gate implementation of speed-independent circuits. In Proceedings of the Design Automation Conference, pages 56–62, June 1994.

    Google Scholar 

  61. A. Kondratyev, M. Kishinevsky, A. Taubin, and S. Ten. A structural approach for the analysis of Petri nets by reduced unfoldings. In Lecture Notes in Computer Science, Vol. 1091: Proceedings of the 17th Int. Conf. on Applications and Theory of Petri Nets, Osaka, pages 346–365. Springer Verlag, June 1996.

    Google Scholar 

  62. A. Kondratyev and A. Taubin. Verification of speed-independent circuits by STG unfoldings. In International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 64–75, November 1994.

    Google Scholar 

  63. A. Y. Kondratyev, L. Y. Rosenblum, and A. V. Yakovlev. Signal graphs: a model for designing concurrent logic. In Proceedings of the 1988 International Conference on Parallel Processing. The Pennsylvania State University Press, 1988.

    Google Scholar 

  64. A. Kovalyev and J. Esparsa. A polynomial algorithm to compute the concurrency relation of free-choice signal transition graphs. In Proceedings of Int. Workshop on Discrete Event Systems (WODES'96), Edinburgh, pages 1–6. IEE, August 1996.

    Google Scholar 

  65. L. Lavagno and A. Sangiovanni-Vincentelli. Algorithms for synthesis and testing of asynchronous circuits. Kluwer Academic Publishers, 1993.

    Google Scholar 

  66. D. Lewin. Design of Logic Systems. Van Nostrand Reinhold (UK), 1985.

    Google Scholar 

  67. K.S. Low and A. Yakovlev. Token Ring Arbiters: an exercise in asynchronous logic design with Petri nets. Technical Report Technical Report Series, no. 537, Department of Computing Science, University of Newcastle upon Tyne, November 1995.

    Google Scholar 

  68. R.J. Machado, J.M. Fernandes, and A.J. Proenca. Specification of industrial digital controllers with object-oriented Petri nets. In IEEE Int. Symp. on Industrial Electronics (ISIE'97), Guimardes, Portugal, July 1997.

    Google Scholar 

  69. L.R. Marino. General theory of metastable operation. IEEE Transactions on Computers, C-30(2):107–115, February 1981.

    Google Scholar 

  70. A.J. Martin. Synthesis of asynchronous VLSI circuits. In J. Staunstrup, editor, Formal Methods for VLSI Design. North-Holland, 1990.

    Google Scholar 

  71. A.J. Martin. Asynchronous datapaths and the design of an asynchronous adder. Formal Methods in System Design, 1(1):119–137, July 1992.

    Google Scholar 

  72. A. Masurkiewicz. Concurrency, modularity and synchronisation. In Lecture Notes in Computer Science, Vol. 379. Springer-Verlag, 1989.

    Google Scholar 

  73. K. McMillan. Symbolic Model Checking. Kluwer Academic Publishers, 1993.

    Google Scholar 

  74. K. McMillan. Trace theoretic verification of asynchronous circuits using unfoldings. In Computer Aided Verification, Proc. 7th Int. Conference, volume 939 of Lecture Notes in Computer Science, pages 180–195, Liège, Belgium, July 1995. Springer-Verlag.

    Google Scholar 

  75. K. McMillan. Using unfolding to avoid the state explosion problem in the verification of asynchronous circuits. Formal Methods in System Design, 1995. (to appear).

    Google Scholar 

  76. R. E. Miller. Switching theory, volume 2, chapter 10, pages 192–244. Wiley and Sons, 1965.

    Google Scholar 

  77. R. Milner. Communication and Concurrency. Prentice-Hall International, Englewood Cliffs, NJ, 1989.

    Google Scholar 

  78. J. Mirkowski, K. Bilinski, and E.L. Dagless. Petri net modelling of VHDL simulation cycle for high level synthesis purposes. In Proceedings VHDL Forum in Europe Spring'96 Working Conference, Dresden, Germany, pages 35–46. Shaker Verlag, May 1996.

    Google Scholar 

  79. D. Misunas. Petri Nets and speed-independent design. Communications of the ACM, pages 474–481, August 1973.

    Google Scholar 

  80. I.M. Mitchell. Proving Newtonian Arbiters Correct, Almost Surely. Master's thesis, University of British Columbia, Canada, October 1996.

    Google Scholar 

  81. C.E. Molnar and H.M. Schols. The design problem scpp-a. Technical Report Technical Report TR-95-49, Sun Microsystems Laboratories, Mountain View, CA, December 1995.

    Google Scholar 

  82. U. Montanari and F. Rossi. Contextual nets. Acta Informatica, 36:545–596, 1995.

    Google Scholar 

  83. D. Morris and R.N. Ibbett. The MU5 Computer System. Macmillan Computer Science Series, 1979.

    Google Scholar 

  84. M. Mukund. Petri nets and step transition systems. Int. Journal of Foundations of Computer Science, 3(4):443–478, 1992.

    Google Scholar 

  85. D. E. Muller and W. C. Bartky. A theory of asynchronous circuits. In Annals of Computing Laboratory of Harvard University, pages 204–243, 1959.

    Google Scholar 

  86. T. Murata. Petri nets: Properties, analysis and applications. Proceedings of IEEE, 77(4):541–580, April 1989.

    Google Scholar 

  87. C. Myers and T. H-Y. Meng. Synthesis of timed asynchronous circuits. In Proceedings of the International Conference on Computer Design, October 1992.

    Google Scholar 

  88. Christian D. Nielsen and Alain J. Martin. Design of a delay-insensitive multiply-accumulate unit. In Proc. Hawaii International Conf. System Sciences, pages 379–388. IEEE Computer Society Press, 1993.

    Google Scholar 

  89. M. Nielsen, G.Plotkin, and G.Winskel. Petri nets, event structures and domains. Part 1. Theoretical Computer Science, 13:85–108, 1981.

    Google Scholar 

  90. M. Nielsen, G. Rozenberg, and P.S. Thiagarajan. Elementary transition systems. Theoretical Computer Science, 96:3–33, 1992.

    Google Scholar 

  91. M. Nielsen and G. Winskel. Petri nets and bisimulation. Theoretical Computer Science, 153(1–2):211–244.

    Google Scholar 

  92. S. M. Nowick and D. L. Dill. Automatic synthesis of locally-clocked asynchronous state machines. In Proceedings of the International Conference on Computer-Aided Design, November 1991.

    Google Scholar 

  93. J.V. Oldfield and R. C. Dorf. Field-programmable gate arrays: reconfigurable logic for rapid prototyping and implementation of digital systems. John Wiley and Sons, Inc., 1995.

    Google Scholar 

  94. E. Pastor. Structural Methods for the Synthesis of Asynchronous Circuits from Signal Transition Graphs. PhD thesis, Universitat Politècnica de Catalunya, Barcelona, 1996.

    Google Scholar 

  95. E. Pastor and J. Cortadella. Polynomial algorithms for the synthesis of hazard-free circuits from signal transition graphs. In Proceedings of the International Conference on Computer-Aided Design, November 1993.

    Google Scholar 

  96. E. Pastor, O. Roig, J. Cortadella, and R. Badia. Petri net analysis using boolean manipulation. In 15th International Conference on Application and Theory of Petri Nets, Zaragoza, Spain, June 1994.

    Google Scholar 

  97. S. S. Patil and J. B. Dennis. The description and realization of digital systems. In Proceedings of the IEEE COMPCON, pages 223–226, 1972.

    Google Scholar 

  98. N.C. Paver. The Design and Implementation of an Asynchronous Microprocessor. PhD thesis, University of Manchester, 1994.

    Google Scholar 

  99. M. Pietkiewicz-Koutny and A. Yakovlev. Non-pure nets and their transition systems. Technical Report Technical Report Series, no. 528, Department of Computing Science, University of Newcastle upon Tyne, September 1995.

    Google Scholar 

  100. C. Ramchandani. Analysis of asynchronous concurrent systems by Petri nets. Technical Report MAC-TR-120, MIT, Project MAC, February 1974.

    Google Scholar 

  101. I. Reicher and M. Yoeli. Net-based modeling of communicating parallel processes with applications to VLSI design. Technical Report 532, Technion, Haifa, 1988.

    Google Scholar 

  102. O. Roig, J. Cortadella, and E. Pastor. Hierarchical verification of speed-independent circuits. In Proceedings of Second Working Conference on Asynchronous Design Methodologies, London, pages 128–137. IEEE Computer Society, N.Y., May 1995.

    Google Scholar 

  103. T. G. Rokicki. Representing and Modeling Digital Circuits. PhD thesis, Stanford University, 1993.

    Google Scholar 

  104. L. Y. Rosenblum and A. V. Yakovlev. Signal graphs: from self-timed to timed ones. In International Workshop on Timed Petri Nets, Torino, Italy, 1985.

    Google Scholar 

  105. C. L. Seitz. Chapter 7. In C. Mead and L. Conway, editors, Introduction to VLSI Systems. Addison Wesley, 1981.

    Google Scholar 

  106. A. Semenov. Verification and Synthesis of Asynchronous Control Circuits using Petri Net Unfolding. PhD thesis, University of Newcastle upon Tyne, Department of Computing Science, July 1997.

    Google Scholar 

  107. A. Semenov, A.M. Koelmans, L. Lloyd, and A. Yakovlev. Designing an asynchronous processor using Petri nets. IEEE Micro, 17(2):54–64, March 1997.

    Google Scholar 

  108. A. Semenov and A. Yakovlev. Event-Based Framework for Verifying High-Level Models of Asynchronous Circuits. Technical Report Technical Report Series, no. 487, Department of Computing Science, University of Newcastle upon Tyne, May 1994.

    Google Scholar 

  109. A. Semenov and A. Yakovlev. Contextual Net Unfolding and Asynchronous System Verification. Technical Report Technical Report Series, no. 572, Department of Computing Science, University of Newcastle upon Tyne, December 1996.

    Google Scholar 

  110. A. Semenov and A. Yakovlev. Verification of asynchoronous circuits using time petri net unfolding. In Proceedings of ACM/IEEE Design Automation Conference (DAC96), Las Vegas, pages 59–63, June 1996.

    Google Scholar 

  111. A. Semenov and A. Yakovlev. Combining partial orders and symbolic traversal for efficient verification of asynchronous circuits. In Proceedings of the International Conference on Computer Hardware Description Languages (CHDL'95), Chiba, Japan, September 1995.

    Google Scholar 

  112. A. Semenov, A. Yakovlev, E. Pastor, M.A. Peña, and L. Lavagno. Partial order based approach to synthesis of speed-independent circuits. In International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 254–265, April 1997.

    Google Scholar 

  113. Semiconductor Industry Association. National Technology Roadmap for Semiconductors. (http://www.sematech.org/public/roadmap), 1994.

    Google Scholar 

  114. E.M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R.Murgai, A. Saldanha, H. Savoj, P.R. Stephan, R. Brayton, and A. Sangiovanni-Vincentelli. SIS: A System for Sequential Circuit Synthesis. Memorandum No. UCB/ERL M92/41, Electronics Research Laboratory, Department of Electrical Engineering and Computer Science, University of Californica, Berkeley, May 1992.

    Google Scholar 

  115. R.M. Shapiro. Validation of a VLSI chip using hierarchical colored Petri nets. In International Conference on Application and Theory of Petri Nets, Paris, France, pages 224–243, June 1990.

    Google Scholar 

  116. R.F. Sproull, I. Sutherland, and C.E. Molnar. The Counterflow Pipeline Processor Architecture. IEEE Design & Test of Computers, pages 48–59, Fall 1994.

    Google Scholar 

  117. N. Starodoubtsev, A. Yakovlev, and S. Petrov. Use of VHDL-based environment for interactive synthesis of asynchronous circuits. In Proceedings VHDL Forum in Europe Spring'96 Working Conference, Dresden, Germany, pages 21–34. Shaker Verlag, May 1996.

    Google Scholar 

  118. I. E. Sutherland. Micropipelines. Communications of the ACM, June 1989. Turing Award Lecture.

    Google Scholar 

  119. R.E. Swartwout. One method for designing speed-independent logic for a control. In Proceedings of the Second AIEE Symposium on Switching Circuit Theory and Logical Design, Detroit, Michigan, volume S-134, October 1961.

    Google Scholar 

  120. M. Tiusanen. Some unsolved problems in modelling self-timed circuits using Petri nets. Bulletin of EATCS, 36:152–160, October 1988.

    Google Scholar 

  121. U1traSan User's Manual. Technical report, Center for Reliable and High-Performance Computing, University of Illinois at Urbana-Champaign, 1994.

    Google Scholar 

  122. A. Valmari. Stubborn attack on state explosion. Formal Methods in System Design, 1:297–322, 1991.

    Google Scholar 

  123. K. van Berkel, J. Kessels, M. Roncken, R. Saejis, and F. Schalij. The VLSI-programming language Tangram and its translation into handshake circuits. In Proceedings of European Design Automation Conference, pages 384–389, 1991.

    Google Scholar 

  124. J. L. A. van de Snepscheut. Trace Theory and VLSI Design, volume 200 of Lecture Notes in Computer Science. Springer Verlag, Berlin, 1985.

    Google Scholar 

  125. P. Vanbekbergen. Optimized synthesis of asynchronous control circuits from graph-theoretic specifications. In Proceedings of the International Conference on Computer-Aided Design, pages 184–187, November 1990.

    Google Scholar 

  126. P. Vanbekbergen, A. Wand, and K. Keutzer. A design and validation system for asynchronous circuits. In Proceedings of Design Automation Conference (DAC96), Las Vegas, June 1995.

    Google Scholar 

  127. V. Varshavsky, M. Kishinevsky, V. Marakhovsky, V. Peschansky, L. Rosenblum, A. Taubin, and B. Tzirlin. Self-Timed Control of Concurrent Processes. Kluwer Academic Publishers, Dordrecht, The Netherlands, 1990. V.I. Varshavsky, Ed.

    Google Scholar 

  128. V. Varshavsky and V. Marakhovsky. Asynchronous control device design by net model behavour simulation. In Lecture Notes in Computer Science, Vol. 1091: Proceedings of the 17th Int. Conf. on Applications and Theory of Petri Nets, Osaka, pages 497–515. Springer Verlag, June 1996.

    Google Scholar 

  129. V. Varshavsky and V. Marakhovsky. Hardware support of discrete event coordination. In Proceedings of Int. Workshop on Discrete Event Systems (WODES'96), Edinburgh, pages 332–340. IEE, August 1996.

    Google Scholar 

  130. A. Yakovlev. Designing control logic for counterflow pipeline processor using petri nets. Formal Methods in System Design, 1995. Accepted for publication.

    Google Scholar 

  131. A. Yakovlev. Solving ACiD-WG design problems with Petri net based methods. In Proc. ESPRIT ACiD-WG Workshop on Asynchronous Circuit Design, Groningen, September 1996 (TR CSN9602, Computer Science Notes Series, University of Groningen).

    Google Scholar 

  132. A. Yakovlev, M. Kishinevsky, A. Kondratyev, L. Lavagno, and M. Pietkiewica-Koutny. On the models for asynchronous circuit behaviour with OR causality. Formal Methods in System Design, 9:189–233, 1996.

    Google Scholar 

  133. A. Yakovlev, A.M. Koelmans, and L. Lavagno. High level modeling and design of asynchronous interface logic. Technical Report Series 460, University of Newcastle upon Tyne, Computing Science, November 1993.

    Google Scholar 

  134. A. Yakovlev, A.M. Koelmans, and L. Lavagno. High level modelling and design of asynchronous interface logic. IEEE Design & Test of Computers, 12(1):32–40, 1995.

    Google Scholar 

  135. A. Yakovlev and A. Petrov. Petri nets and parallel bus controller design. In International Conference on Application and Theory of Petri Nets, Paris, France, pages 244–263, June 1990.

    Google Scholar 

  136. A. Yakovlev, V. Varshavsky, V. Marakhovsky, and A. Semenov. Designing an asynchronous pipeline token ring interface. In Proceedings of the Second Working Conference on Asynchronous Design Methodologies, London, May 1995, pages 32–41. IEEE Computer Society Press, May, 1995.

    Google Scholar 

  137. A. V. Yakovlev, L. Lavagno, and A. Sangiovanni-Vincentelli. A unified signal transition graph model for asynchronous control circuit synthesis. Formal Methods in System Design, 9:139–188, 1996.

    Google Scholar 

  138. A. V. Yakovlev, A. I Petrov, and L. Lavagno. A low latency arbitration circuit. IEEE Transactions on VLSI Systems, pages 372–377, September 1994.

    Google Scholar 

  139. A.V. Yakovlev, A. M. Koelmans, A. Semenov, and D.J. Kinniment. Modelling, analysis and synthesis of asynchronous control circuits using Petri nets. Integration: the VLSI journal, 21:143–170, 1996.

    Google Scholar 

  140. C. Ykman-Couvreur, B. Lin, and H. De Man. ASSASSIN: A synthesis system for asynchronous control circuits. Technical report, IMEC, September 1994. User and Tutorial manual.

    Google Scholar 

  141. Ch. Ykman-Couvreur and B. Lin. Optimised state assignment for asynchronous circuit synthesis. In Second Working Conference on Asynchronous Design Methodologies, pages 118–127, May 1995.

    Google Scholar 

  142. M. Yoeli. Petri nets and asynchronous control networks. Technical Report Research Report CS-73-07, University of Waterloo, Department of Computer Science, April 1973.

    Google Scholar 

  143. A. Yu. The future of microprocessors. IEEE Micro, 16(6):46–53, December 1996.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Wolfgang Reisig Grzegorz Rozenberg

Rights and permissions

Reprints and permissions

Copyright information

© 1998 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Yakovlev, A.V., Koelmans, A.M. (1998). Petri nets and digital hardware design. In: Reisig, W., Rozenberg, G. (eds) Lectures on Petri Nets II: Applications. ACPN 1996. Lecture Notes in Computer Science, vol 1492. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-65307-4_49

Download citation

  • DOI: https://doi.org/10.1007/3-540-65307-4_49

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-65307-3

  • Online ISBN: 978-3-540-49441-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics