Skip to main content

Efficient adaptive routing in networks of workstations with irregular topology

  • Conference paper
  • First Online:

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 1199))

Abstract

Networks of workstations are rapidly emerging as a cost-effective alternative to parallel computers. Switch-based interconnects with irregular topologies allow the wiring flexibility, scalability and incremental expansion capability required in this environment. The irregularity also makes routing and deadlock avoidance on such systems quite complicated. Current proposals avoid deadlock by removing cyclic dependencies between channels. As a consequence, many messages are routed following non-minimal paths, increasing latency and wasting resources. In this paper, we propose a general methodology for the design of adaptive routing algorithms for networks with irregular topology. These routing algorithms allow messages to follow minimal paths in most cases, reducing message latency and increasing network throughput. The methodology is based on the application of the theory of deadlock avoidance proposed in [14], which increases routing flexibility by allowing cyclic dependencies between channels. As an example of application, we propose an adaptive routing algorithm for Autonet. It can be implemented either by duplicating physical channels or by splitting each physical channel into two virtual channels. In the former case, the implementation does not require a new switch design. It only requires changing the routing tables and adding links in parallel with existing ones, taking advantage of spare switch ports. In the latter case, a new switch design is required but the network topology is not changed. Preliminary evaluation results show that the new routing algorithm is able to increase throughput for random traffic by a factor of up to 2.8 with respect to the original algorithm, also reducing latency.

This work was supported by the Spanish CICYT under Grant TIC94-0510-C02-01

This is a preview of subscription content, log in via an institution.

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. P. E. Berman, L. Gravano, G. D. Pifarré and J. L. C. Sanz, “Adaptive deadlock-and livelock-free routing with all minimal paths in torus networks,” in Proceedings of the 4th ACM Symposium on Parallel Algorithms and Architectures, June 1992.

    Google Scholar 

  2. M. A. Blumrich, K. Li, R. Alpert, C. Dubnicki, E. W. Felten and J. Sandberg, “Virtual memory mapped network interface for the SHRIMP multicomputer,” Proceedings of the 21st International Symposium on Computer Architecture, pp. 142–153, April 1994.

    Google Scholar 

  3. N. J. Boden, D. Cohen, R. E. Felderman, A. E. Kulawik, C. L. Seitz, J. Seizovic and W. Su, “Myrinet — A gigabit per second local area network,” IEEE Micro, pp. 29–36, February 1995.

    Google Scholar 

  4. R. V. Boppana and S. Chalasani, “A comparison of adaptive wormhole routing algorithms,” in Proceedings of the 20th International Symposium on Computer Architecture, May 1993.

    Google Scholar 

  5. A. A. Chien and J. H. Kim, “Planar-adaptive routing: Low-cost adaptive networks for multiprocessors,” in Proceedings of the 19th International Symposium on Computer Architecture, May 1992.

    Google Scholar 

  6. A. A. Chien, “A cost and speed model for k-ary n-cube wormhole routers.” in Proceedings of Hot Interconnects'93, August 1993.

    Google Scholar 

  7. W. J. Dally and C. L. Seitz, “Deadlock-free message routing in multiprocessor interconnection networks,” IEEE Transactions on Computers, vol. C-36, no. 5, pp. 547–553, May 1987.

    Google Scholar 

  8. W. J. Dally, “Virtual-channel flow control,” IEEE Transactions on Parallel and Distributed Systems, vol. 3, no. 2, pp. 194–205, March 1992.

    Google Scholar 

  9. W. J. Dally and H. Aoki, “Deadlock-free adaptive routing in multicomputer networks using virtual channels,” IEEE Transactions on Parallel and Distributed Systems, vol. 4, no. 4, pp. 466–475, April 1993.

    Google Scholar 

  10. W. J. Dally, L. R. Dennison, D. Harris, K. Kan and T. Xanthopoulus, “The Reliable Router: A reliable and high-performance communication substrate for parallel computers,” in Proceedings of the Workshop on Parallel Computer Routing and Communication, pp. 241–255, May 1994.

    Google Scholar 

  11. W. J. Dally, L. R. Dennison, D. Harris, K. Kan and T. Xanthopoulos, “Architecture and implementation of the Reliable Router,” in Proceedings of Hot Interconnects II, August 1994.

    Google Scholar 

  12. B. V. Dao, S. Yalamanchili and J. Duato, “Architectural support for reducing communication overhead in pipelined networks,” Third International Symposium on High Performance Computer Architecture, February 1997.

    Google Scholar 

  13. J. Duato, “On the design of deadlock-free adaptive routing algorithms for multicomputers: Design methodologies,” in Proceedings of Parallel Architectures and Languages Europe 91, June 1991.

    Google Scholar 

  14. J. Duato, “A new theory of deadlock-free adaptive routing in wormhole networks,” IEEE Transactions on Parallel and Distributed Systems, vol. 4, no. 12, pp. 1320–1331, December 1993.

    Google Scholar 

  15. J. Duato, “A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks,” in Proceedings of the 1994 International Conference on Parallel Processing, August 1994.

    Google Scholar 

  16. J. Duato, “A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks,” IEEE Transactions on Parallel and Distributed Systems, vol. 6, no. 10, pp. 1055–1067, October 1995.

    Google Scholar 

  17. T. von Eicken, D. E. Culler, S. C. Goldstein and K. E. Schauser, “Active messages: A mechanism for integrated communication and computation,” in Proceedings of the 19th International Symposium on Computer Architecture, June 1992.

    Google Scholar 

  18. P. T. Gaughan and S. Yalamanchili, “Adaptive routing protocols for hypercube interconnection networks,” IEEE Computer, vol. 26, no. 5, pp. 12–23, May 1993.

    Google Scholar 

  19. L. Gravano, G. D. Pifarré, P. E. Berman and J. L. C. Sanz, “Adaptive deadlock-and livelock-free routing with all minimal paths in torus networks,” IEEE Transactions on Parallel and Distributed Systems, vol.5, no. 12, pp. 1233–1251, December 1994.

    Google Scholar 

  20. R. Horst, “ServerNet deadlock avoidance and fractahedral topologies.” in Proceedings of the International Parallel Processing Symposium, pp. 274–280, April 1996.

    Google Scholar 

  21. J.-M. Hsu and P. Banerjee, “Performance measurement and trace driven simulation of parallel CAD and numeric applications on a hypercube multicomputer,” IEEE Transactions on Parallel and Distributed Systems, vol. 3, no. 4, pp. 451–464, July 1992.

    Google Scholar 

  22. V. Karamcheti and A. A. Chien, “Do faster routers imply faster communication?,” in Proceedings of the Workshop on Parallel Computer Routing and Communication, May 1994.

    Google Scholar 

  23. X. Lin, P. K. McKinley and L. M. Ni, “The message flow model for routing in wormhole-routed networks,” in Proceedings of the 1993 International Conference on Parallel Processing, August 1993.

    Google Scholar 

  24. X. Lin, P. K. McKinley and L. M. Ni, “The message flow model for routing in wormhole-routed networks,” IEEE Transactions on Parallel and Distributed Systems, vol. 6, no. 7, pp. 755–760, July 1995.

    Google Scholar 

  25. R. J. Littlefield, “Characterizing and tuning communications performance for real applications,” in Proceedings of the First Intel DELTA Applications Workshop, February 1992.

    Google Scholar 

  26. L. M. Ni and P. K. McKinley, “A survey of wormhole routing techniques in direct networks,” IEEE Computer, vol. 26, no. 2, pp. 62–76, February 1993.

    Google Scholar 

  27. W. Qiao and L. M. Ni, “Adaptive routing in irregular networks using cut-through switches,” in Proceedings of the 1996 International Conference on Parallel Processing, August 1996.

    Google Scholar 

  28. M. D. Schroeder et al., “Autonet: A high-speed, self-configuring local area network using point-to-point links,” Technical Report SRC research report 59, DEC, April 1990.

    Google Scholar 

  29. L. Schwiebert and D. N. Jayasimha, “A universal proof technique for deadlock-free routing in interconnection networks,” in Proceedings of the Symposium on Parallel Algorithms and Architectures, pp. 175–184, July 1995.

    Google Scholar 

  30. S. L. Scott and G. Thorson, “The Cray T3E networks: adaptive routing in a high performance 3D torus,” in Proceedings of Hot Interconnects IV, August 1996.

    Google Scholar 

  31. C. Su and K. G. Shin, “Adaptive deadlock-free routing in multicomputers using only one extra channel,” in Proceedings of the 1993 International Conference on Parallel Processing, August 1993.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Dhabaleswar K. Panda Craig B. Stunkel

Rights and permissions

Reprints and permissions

Copyright information

© 1997 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Silla, F., Malumbres, M.P., Robles, A., López, P., Duato, J. (1997). Efficient adaptive routing in networks of workstations with irregular topology. In: Panda, D.K., Stunkel, C.B. (eds) Communication and Architectural Support for Network-Based Parallel Computing. CANPC 1997. Lecture Notes in Computer Science, vol 1199. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-62573-9_4

Download citation

  • DOI: https://doi.org/10.1007/3-540-62573-9_4

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-62573-5

  • Online ISBN: 978-3-540-68085-7

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics