Skip to main content

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2438))

Included in the following conference series:

Abstract

This paper describes a flexible power model for FPGAs. The model estimates the dynamic, short circuit, and leakage power for a wide variety of FPGA architectures. Such a model will be essential in the design and research of next-generation FPGAs, where power will be one of the primary optimization goals. The model has been integrated into the VPR CAD flow, and is available to the research community for use in FPGA architectural and CAD tool experimentation.

This work was supported by Micronet, the British Columbia Advanced Systems Institute, and the Natural Sciences and Engineering Research Council of Canada

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. A. Allan, D. Edenfeld, W. Joyner Jr, A. Khang, M. Rogers, Y. Zorian: “2001 Technology Roadmap for Semiconductors”. Computer, Vol. 35, Issue 1, Jan 2002, pp. 42–53

    Article  Google Scholar 

  2. G. Lim, R. Saleh, “Trends in Low Power Digital Systems on Chip Design”, in International Symposium on Quality of Electronic Design, March 2002.

    Google Scholar 

  3. V. George, H. Zhang, J. Rabaey, “The design of a low energy FPGA”, in proceedings of the Low Power Electronics and Design, August 1999.

    Google Scholar 

  4. L. Shang, A. S. Kaviani, K. Bathala, “Dynamic Power Consumption in Virtex-II FPGA Family”, ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2002.

    Google Scholar 

  5. F. G. Wolff, M. J. Knieser, D. J. Weyer, C. A. Papachristou, “High-level low power FPGA design methodology”National Aerospace and Electronics Conference, October 2000.

    Google Scholar 

  6. A. Garcia, W. Burleson, J. Danger, “Power Modelling in Field Programmable Gate Arrays (FPGA)”,in Proceeding of Field-Programmable Logic and Applications, pp. 396–404, September 1999.

    Google Scholar 

  7. L. Shang, N. K. Jha, “High-level power modeling of CPLDs and FPGAs”, International Conference on Computer Design, September 2001.

    Google Scholar 

  8. K. Roy, “Power-Dissipation Driven FPGA Place and Route Under Timing Constraints”, IEEE Transactions on Circuits and Systems: Fundamental Theory and Applications, Vol 46, No 5 May 1999

    Google Scholar 

  9. V. Betz, Architectures and CAD for Speed and Area Optimizations of FPGAs. PhD thesis, University of Toronto, 1998.

    Google Scholar 

  10. V. Betz, VPR and T-VPack User’s Manual. version 4.30, March 2000.

    Google Scholar 

  11. F. N. Najm, “A Survey of Power Estimation Techniques in VLSI Circuits”, IEEE Trans. on Very Large Scale Integration (VLSI) Systems, Vol 2, No 4, pp 446–455, December 1994.

    Article  Google Scholar 

  12. G. Yeap, Practical Low Power Digital VLSI Design. Kluwer Academic Publishers, 1998

    Google Scholar 

  13. S. J. E. Wilton, N. P. Jouppi, “CACTI: An Enhanced Cache Access and Cycle Time Model”, in IEEE Journal of Solid-State Circuits, Vol 31, No 5, pp 677–687, May 1996.

    Article  Google Scholar 

  14. D. Eckerbert, P.L. Edefors, “Interconnect-Driven Short-Circuit Power Modeling”, in Proceedings of Euromicro Symposium on Digital Systems, September 2001.

    Google Scholar 

  15. Altera. APEX 20K Programmable Logic Device Family Data Sheet. version 4.1. Altera Corporation. September 2001.

    Google Scholar 

  16. Xilinx. Virtex-E 1.8V Field Programmable Gate Arrays Data Sheet. version 2.2. Xilinx Corporation. November 2001.

    Google Scholar 

  17. S.M. Kang, Y. Leblebici, CMOS Digital Integated Circuits: Analysis and Design. 1999

    Google Scholar 

  18. M. I. Masud, S. J. E. Wilton, “A New Switch Block for Segmented FPGAs”, in Proceeding of Field-Programmable Logic and Applications, pp. 396–404, September 1999.

    Google Scholar 

  19. K.Y. Toh, P.K. Ko, R.G. Meyer, “An Engineering Model for Short-Channel MOS Devices”, IEEE Journal of Solid-State Circuits, Vol. 23, No. 4, August 1988.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2002 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Poon, K.K., Yan, A., Wilton, S.J. (2002). A Flexible Power Model for FPGAs. In: Glesner, M., Zipf, P., Renovell, M. (eds) Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream. FPL 2002. Lecture Notes in Computer Science, vol 2438. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-46117-5_33

Download citation

  • DOI: https://doi.org/10.1007/3-540-46117-5_33

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-44108-3

  • Online ISBN: 978-3-540-46117-3

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics