Skip to main content

Energy-Efficient Design of the Reorder Buffer

  • Conference paper
  • First Online:
Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation (PATMOS 2002)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2451))

Abstract

Some of today’s superscalar processors, such as the Intel Pentium III, implement physical registers using the Reorder Buffer (ROB) slots. As much as 27% of the total CPU power is expended within the ROB in such designs, making the ROB a dominant source of power dissipation within the processor. This paper proposes three relatively independent techniques for the ROB power reduction with no or minimal impact on the performance. These techniques are: 1) dynamic ROB resizing; 2) the use of low-power comparators that dissipate energy mainly on a full match of the comparands and, 3) the use of zero-byte encoding. We validate our results by executing the complete suite of SPEC 95 benchmarks on a true cycle-by-cycle hardware-level simulator and using SPICE measurements for actual layouts of the ROB in 0.5 micron CMOS process. The total power savings achieved within the ROB using our approaches are in excess of 76% with the average performance penalty of less than 3%.

Supported in part by DARPA through contract number FC 306020020525 under the PAC-C program, the NSF through award no. MIP 9504767 & EIA 9911099 and IEEC at SUNY Binghamton.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Burger, D., and Austin, T. M., “The SimpleScalar tool set: Version 2.0”, Tech. Report, Dept. of CS, Univ. of Wisconsin-Madison, June 1997and documentation for all Simplescalar releases (through version 3.0).

    Google Scholar 

  2. Buyuktosunoglu, A., Schuster, S., Brooks, D., Bose, P., Cook, P. and Albonesi, D., “An Adaptive Issue Queue for Reduced Power at High Performance”, in PACS Workshop, November 2000.

    Google Scholar 

  3. Canal R., Gonzalez A., and Smith J., “Very Low Power Pipelines using Significance Compression”, in Proceedings of International Symposium on Microarchitecture, 2000.

    Google Scholar 

  4. Folegnani, D., Gonzalez, A., “Energy-Effective Issue Logic”, in Proc. of ISCA, July 2001.

    Google Scholar 

  5. Kucuk, G., Ghose, K., Ponomarev, D., Kogge, P., “Energy-Efficient Instruction Dispatch Buffer Design for Superscalar Processors”, in Proc. of ISLPED, 2001.

    Google Scholar 

  6. Palacharla, S., Jouppi, N. P. and Smith, J.E., “Quantifying the Complexity of Superscalar Processors”, Technical report CS-TR-96-1308, Dept. of CS, Univ. of Wisconsin, 1996.

    Google Scholar 

  7. Ponomarev, D., Kucuk, G., Ghose, K., “Reducing Power Requirements of Instruction Scheduling Through Dynamic Allocation of Multiple Datapath Resources”, in Proc. of MICRO-34, 2001.

    Google Scholar 

  8. Ponomarev, D., Kucuk, G., Ghose, K., “AccuPower: an Accurate Power Estimation Tool for Superscalar Microprocessors”, in Proc. of 5th Design, Automation and Test in Europe Conference, 2002.

    Google Scholar 

  9. Villa, L., Zhang, M. and Asanovic, K., “Dynamic Zero Compression for Cache EnergyReduction”, in Proceedings of International Symposium on Microarchitecture, 2000.

    Google Scholar 

  10. Wall, D.W., “Limits on Instruction Level Parallelism”. In Proceedings of ASPLOS, November, 1991.

    Google Scholar 

  11. Brooks, D. and Martonosi, M., “Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance”, Proc. HPCA, 1999.

    Google Scholar 

  12. Kucuk, G., Ponomarev, D., Ghose, K., “Low-Complexity Reorder Buffer Architecture”, in Proc. of the 16th International Conference on Supercomputing, 2002.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2002 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Ponomarev, D., Kucuk, G., Ghose, K. (2002). Energy-Efficient Design of the Reorder Buffer. In: Hochet, B., Acosta, A.J., Bellido, M.J. (eds) Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2002. Lecture Notes in Computer Science, vol 2451. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-45716-X_29

Download citation

  • DOI: https://doi.org/10.1007/3-540-45716-X_29

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-44143-4

  • Online ISBN: 978-3-540-45716-9

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics