Skip to main content

Putting Data Value Predictors to Work in Fine-Grain Parallel Processors

  • Conference paper
  • First Online:
  • 338 Accesses

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2228))

Abstract

Recent work has shown that the hurdles imposed by data dependences on parallelism can be overcome to some extent with the use of data value prediction. This paper highlights how data value history is affected when implementing data value predictors in fine-grained parallel processors, wherein microarchitectural issues affect the recorded history. Simulation studies show that mispredictions increase and correct predictions decrease when the recorded history is not updated properly. The paper also investigates techniques for overcoming the effects of value history disruption. The investigated techniques rely on extrapolation of outdated history so as to make it up-to-date, and utilization of misprediction information to turn off predictions of subsequent instances of the mispredicted instruction. We evaluate the proposed techniques using a cycle-accurate simulator for a superscalar processor. Results from this study indicate that the extrapolation technique is indeed able to provide up-to-date history in most of the cases, and is able to recoup most of the ground lost due to microarchitectural effects. Utilization of misprediction information helps to further reduce the number of mispredictions, although in some cases it reduces the number of correct predictions also.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. M. Bekerman, S. Jourdan, R. Ronen, G. Kirshenboim, L. Rappoport, A. Yoaz, and U. Weiser, “Correlated Load-Address Predictors,” Proc. 26th International Symposium on Computer Architecture, 1999.

    Google Scholar 

  2. B. Calder, G. Reinman, and D. M. Tullsen, “Selective Value Prediction,” Proc. 26th International Symposium on Computer Architecture, 1999.

    Google Scholar 

  3. F. Gabbay and A. Mendelson, “Using Value Prediction to Increase the Power of Speculative Execution Hardware,” ACM Transactions on Computer Systems, Vol. 16, No. 3, pp. 234–270, August 1998.

    Article  Google Scholar 

  4. J. Gummaraju and M. Franklin, “Branch Prediction in Multi-Threaded Processors,” Proc. International Conference on Parallel Architectures and Compilation Techniques (PACT), 2000.

    Google Scholar 

  5. E. Hao, P-Y. Chang, and Y. N. Patt, “The Effect of Speculatively Updating Branch History on Branch Prediction Accuracy, Revisited,” Proc. 27th International Symposium on Microarchitecture (MICRO-27), 1994.

    Google Scholar 

  6. M. H. Lipasti and J. P. Shen, “Exceeding the Dataflow Limit via Value Prediction,” Proceedings of the 29th International Symposium on Microarchitecture (MICRO-29), pp. 226–237, 1996.

    Google Scholar 

  7. J. González and A. González, “The Potential of Data Value Speculation to Boost ILP,” Proc. ACM International Conference on Supercomputing, 1998.

    Google Scholar 

  8. T. Nakra, R. Gupta, and M. L. Soffa, “Global Context-based Value Prediction,” Proc. 5th International Symposium on High Performance Computer Architecture (HPCA-5), pp. 4–12, 1999.

    Google Scholar 

  9. Y. Sazeides and J. E. Smith, “Modeling Program Predictability,” Proc. 25th International Symposium on Computer Architecture (ISCA), 1998.

    Google Scholar 

  10. A. Seznec, S. Jourdan, P. Sainrat, P. Michaud, “Multiple-Block Ahead Branch Predictors,” Proc. 7th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS VII), 1996.

    Google Scholar 

  11. R. Thomas and M. Franklin, “Using Dataflow Based Context for Accurate Value Prediction,” Proc. International Conference on Parallel Architectures and Compilation Techniques (PACT), 2001.

    Google Scholar 

  12. K. Wang and M. Franklin, “Highly Accurate Data Value Prediction using Hybrid Predictors,” Proceedings of the 30th International Symposium on Microarchitecture (MICRO-30), pp. 281–290, 1997.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2001 Springer-Verlag Berlin Heidelberg 2001

About this paper

Cite this paper

Aggarwal, A., Franklin, M. (2001). Putting Data Value Predictors to Work in Fine-Grain Parallel Processors. In: Monien, B., Prasanna, V.K., Vajapeyam, S. (eds) High Performance Computing — HiPC 2001. HiPC 2001. Lecture Notes in Computer Science, vol 2228. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-45307-5_18

Download citation

  • DOI: https://doi.org/10.1007/3-540-45307-5_18

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-43009-4

  • Online ISBN: 978-3-540-45307-9

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics