Skip to main content

Materials Issues for High-k Gate Dielectric Selection and Integration

  • Chapter

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 16))

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   259.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   329.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   329.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Afanas'ev VV, Stesmans A, Chen F, Shi X, Campbell SA (2002) “Internal photoemission of electrons and holes from (100)Si into HfO2,” Applied Physics Letters 81:1053–5

    Article  Google Scholar 

  2. Alam MA, Green ML (2003) “A mathematical description of atomic layer deposition, and its application to the nucleation and growth of HfO2 gate dielectric layers,” unpublished

    Google Scholar 

  3. Barin I, Knacke O (1973) “Thermochemical Properties of Inorganic Substances” (Springer-Verlag, Berlin)

    Google Scholar 

  4. Beyers R (1984) “Thermodynamic considerations in refractory metalsilicon-oxygen systems” J. Applied Physics 56:147–52

    Article  Google Scholar 

  5. Bevan MJ, Visokay MR, Chambers JJ, Rotondaro ALP, Bu H, Shanware A, Mercer DE, Laaksonen RT, Colombo L (2001) “Comparative Study Of High-K CVD Films of Hf and Zr Silicate for CMOS Devices” as discussed at the IEEE Semiconductor Interface Specialists Conference, Washington D.C.

    Google Scholar 

  6. Busch BW, Kwo J, Hong M, Mannaerts JP, Sapjeta BJ, Schulte WH, Garfunkel E, Gustafson T (2001) “Interface reactions of high-κ Y2O3 gate oxides with Si,” Applied Physics Letters 79:2447–9

    Article  Google Scholar 

  7. Busch BW, Pluchery O, Chabal YJ, Muller DA, Opila RL, Kwo J, Garfunkel E (2002) “Materials Characterization of Alternative Gate Dielectrics” Materials Research Society Bulletin 27:206–211

    Google Scholar 

  8. Callagari A, Cartier E, Gribelyuk M, Okorn-Schmidt H, Zabel T (2001) “Physical and electrical characterization of Hafnium oxide and Hafnium silicate sputtered films,” Journal of Applied Physics 90:6466

    Article  Google Scholar 

  9. Cartier E (2002) “Emerging challenges in the development of high-e gate dielectrics for CMOS applications,” Proceedings of the AVS 3rd International Conference on Microelectronics and Interfaces, February 11–14, Santa Clara, CA, pp. 119–22

    Google Scholar 

  10. Chambers JJ, Parsons GN (2000) “Yttrium silicate formation on silicon: Effect of silicon preoxidation and nitridation on interface reaction kinetics,” Applied Physics Letters 77:2385–7

    Article  Google Scholar 

  11. Chang JP, Lin YS, Berger S, Kepton A, Bloom R, Levy S (2001) “Ultrathin zirconium oxide films as alternative gate dielectrics,” J. Vacuum Science and Technology B19:2137–43

    Article  Google Scholar 

  12. Chatterjee A, Rodder M, Chem I-C (1998) “A Transistor Performance Figure-of-Merit Including the Effect of Gate Resistance and its Application to Scaling to Sub-0.25-µm CMOS Logic Technologies”, IEEE Transactions on Electron Devices 45:1246–52

    Article  Google Scholar 

  13. Chatterjee A, Chapman RA, Joyner K, Otobe M, Hattangady S, Bevan M, Brown GA, Yang H, He Q, Rogers D, Fang SJ, Kraft R, Rotondaro ALP., Terry M, Brennan K, Aur SW, Hu JC, Tsai H-L, Jones P, Wilk G, Aoki M, Rodder M, Chen I-C (1998) “CMOS Metal Replacement Gate Transistors using Tantalum Pentoxide Gate Insulator,” Technical Digest of the International Electron Devices Meeting, pp. 777–80

    Google Scholar 

  14. Chen PJ, Cartier E, Carter RJ, Kauerauf T, Zhao C, Petry J, Cosnier V, Xu Z, Kerber A, Tsai W, Young E, Kubicek S, Caymax M, Vandervorst W, DeGendt S, Heyns M, Copel M, Besling W, Bajolet P, Maes J (2002) “Thermal Stability and Scalability of Zr-Aluminate-Based High-K Gate Stacks,” Symposium on VLSI Technology Technical Digest of Papers, pp. 192–3

    Google Scholar 

  15. Cheng B, Cao M, Rao R, Inani A, Voorde P, Greene W, Stork J, Yu Z, Zeitzoff P, Woo J (1999) “The Impact of High-Gate Dielectrics and Metal Gate Electrodes on Sub-100 nm MOSFET's”, IEEE Transactions Electron Devices 46:1537–44

    Article  Google Scholar 

  16. Cho MH, Roh YS, Whang CN, Jeong K, Choi HJ, Nam SW, Ko DH, Lee JH, Lee NI, Fujihara K (2002) “Dielectric characteristics of Al2O3-HfO2 nanolaminates on Si(100),” Applied Physics Letters 81:1071–3

    Article  Google Scholar 

  17. Copel M, Cartier E, Ross FM (2001) “Formation of a stratified lanthanum silicate dielectric by reaction with Si(001),” Applied Physics Letters 78:16079

    Google Scholar 

  18. De I, Johri D, Srivastava A, Osburn CM (2000) “Impact of gate workfunction on device performance at the 50 nm technology node”, Solid-State-Electronics 44, no.6, pp. 1077–80

    Article  Google Scholar 

  19. Fischetti MV, Nuemayer DA, Cartier EA (2001) “Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-k insulator: The role of remote phonon scattering,” Journal of Applied Physics 90:4587–4608

    Article  Google Scholar 

  20. Frank D, Taur Y, Wong H-S P(1998) “Generalized scale length for two-dimensional effects in MOSFETs,” IEEE Electron Device Letters 19:385–7

    Article  Google Scholar 

  21. Frank MM, Chabal YJ, Wilk GD (2003) “Nucleation and interface formation mechanisms in Al2O3 atomic layer deposition,” unpublished

    Google Scholar 

  22. Gopalan S, Onishi K, Nieh R, Kang CS, Choi R, Cho HJ, Krishnan S, Lee JC (2002) “Electrical and physical characteristics of ultrathin hafnium silicate films with polycrystalline silicon and TaN gates,” Applied Physics Letters 80:4416–8

    Article  Google Scholar 

  23. Gougousi T, Jason Kelly M, Parsons GN (2002) “The role of the OH species in high-k polycrystalline silicon gate electrode interface reactions,” Applied Physics Letters 80:4419–21

    Article  Google Scholar 

  24. Green ML, Gusev EP, Degraeve R,. Garfunkel EL, (2001) “Ultrathin (<4 nm) SiO2 and Si-O-N gate dielectric layers for silicon microelectronics: Understanding the processing, structure, and physical and electrical limits,” Journal of Applied Physics 90:2057–121

    Google Scholar 

  25. Green ML, Ho MY, Busch B, Wilk GD, Sorsch T, Conard T, Brijs B, Vandervorst W, Räisänen PI, Muller D, Bude M, Grazul J (2002) “Nucleation and growth of atomic layer deposited HfO2 gate dielectric layers on chemical oxide (Si-O-H) and thermal oxide (SiO2 or Si-O-N) underlayers,” Journal of Applied Physics 92:7168–74

    Article  Google Scholar 

  26. Gusev EP, Buchanan DA, Cartier E, Kumar A, DiMaria D, Guha S, Callegari A, Zafar S, Jamison PC, Nuemayer DA, Copel M, Gribulyek MA, Okorn-Schmidt H, D'Emic C, Kozlowski P, Chan K, Bojarczuk N, Ragnarsson L-A, Ronsheim P, Rim K, Fleming RJ, Mocuta A, Ajmera A (2001) “Ultrathin high-k gate stacks for advanced CMOS devices,” Technical Digest of the International Electron Devices Meeting, p. 451–4

    Google Scholar 

  27. Guha S, Cartier E, Gribelyuk MA, Bojarczuk NA, Copel MC (2000) “Atomic beam deposition of lanthanum-and yttrium-based oxide thin films for gate dielectrics,” Applied Physics Letters 77:2710–12

    Article  Google Scholar 

  28. Guha S, Gusev EP, Okorn-Schmidt H, Copel MC, Ragnarsson LÅ, Bojarczuk NA, Ronsheim P (2002) “High temperature stability of Al2O3 dielectrics in Si: Interfacial metal diffusion and mobility degradation,” Applied Physics Letters 81:2956–8

    Article  Google Scholar 

  29. Harada Y, Niwa M, Lee S, Kwong DL (2002) “Specific Structural Factors Influencing on Reliability of CVD-HfO2,” Symposium on VLSI Technology Technical Digest of Papers, pp. 26–7

    Google Scholar 

  30. Hergenrother JM, Wilk GD, Nigam T, Klemens FP, Monroe D, Silverman PJ, Sorsch TW, Busch B, Green ML, Baker MR, Boone T, Bude MK, Ciampa NA, Ferry EJ, Fiory AT, Hillenius SJ, Jacobson DC, Johnson RW, Kalavade P, Keller RC, King CA, Kornblit A, Krautter HW, Lee JTC, Mansfield WM, Miner JF, Morris MD, Oh SH, Rosamilia JM, Sapjeta BJ, Short K, Steiner K, Muller DA, Voyles PM, Grazul JL, Shero EJ, Givens ME, Pomarede C, Mazanec M, Werkhoven C (2001) “50 nm vertical replacement-gate (VRG) nMOSFETs with ALD HfO2 and Al2O3 gate dielectrics,” Technical Digest of the International Electron Devices Meeting, p. 51–4

    Google Scholar 

  31. Ho MY, Gong H, Wilk GD, Busch BW, Green ML, Lin WH, See A, Lahiri SK, Loomans ME, Räisänen PI, Gustafsson T (2002) “Suppressed crystallization of Hf-based gate dielectrics by controlled addition of Al2O3 using atomic layer deposition,” Applied Physics Letters 81:4218–20

    Article  Google Scholar 

  32. Ho MY, Gong H, Wilk GD, Busch BW, Green ML, Voyles PM, Muller DA, Bude M, Lin WH, See A, Loomans ME, Lahiri SK, Räisänen PI (2003) “Morphology and crystalization kinetics in HfO2 thin films grown by atomic layer deposition,” Journal of Applied Physics 93:1477–81

    Article  Google Scholar 

  33. Hobbs C, Tseng H, Reid K, Taylor B, Dip L, Hebert L, Garcia R, Hegde R, Grant J, Gilmer D, Franke A, Dhandapani V, Azrak M, Prabhu L, Rai R, Bagchi S, Conner J, Backer S, Dumbuya F, Nguyen B, Tobin P (2001) “80 nm Poly-Si Gate CMOS with HfO2 Gate Dielectric,” Technical Digest of the International Electron Devices Meeting, p. 651

    Google Scholar 

  34. Hori T (1997) “Gate Dielectrics and MOS ULSIs,” Springer Series in Electronics and Photonics, 34, Springer-Verlag, Berlin

    Google Scholar 

  35. Houssa M,. Afanas'ev VV, Stesmans A, Heyns MM (2000) “Variation in the fixed charge density of SiOx/ZrO2 gate dielectric stacks during postde-position oxidation,” Applied Physics Letters 77:1885–7

    Article  Google Scholar 

  36. Hubbard KJ, Schlom DG (1996) “Thermodynamic Stability of Oxides in Direct Contact with Silicon” J. Materials Research 11:2757

    Google Scholar 

  37. Iwai H, Momose HS, Ohmi S (2000) in The Physics and Chemistry of SiO2 and the Si-SiO2 interface-4, H.Z. Massoud, I.J.R. Baumvol, M. Hirose and E.H. Poindexter, Eds., Proceedings of the Electrochemical Society 2000-2:3

    Google Scholar 

  38. Jeon S, Choi CJ, Seong TY, Hwang H (2001) “Electrical characteristics of ZrOxNy prepared by NH3 annealing of ZrO2” Applied Physics Letters 79:245–7

    Article  Google Scholar 

  39. Kang CS, Cho HJ, Onishi K, Choi R, Nieh R, Goplan S, Krishnan S, Lee JC (2002) “Improved Thermal Stability and Device Performance of Ultra-Thin (EOT<10Å) Gate Dielectric MOSFETs by Using Hafnium Oxynitride (HfOxNy),” Symposium on VLSI Technology Technical Digest of Papers, pp. 146–7

    Google Scholar 

  40. Kasap SO (2002) Principles of Electrical Engineering Materials and Devices, McGraw-Hill, New York

    Google Scholar 

  41. Kerber A, Cartier E, Pantisano L, Degraeve R, Kauerauf T, Kim Y, Hou A, Groeseneken G, Maes HE, Schwalke U (2003) “Origin of the threshold voltage instability in SiO2//HfO2 dual layer gate dielectrics,” IEEE Electron Device Letters 24:87–9

    Article  Google Scholar 

  42. Kim H, NcIntyre P, Saraswat K (2003) “Effects of crystallization on the electrical properties of ultrathin HfO2 dielectrics grown by atomic layer deposition,” Applied Physics Letters 82:106–108

    Article  Google Scholar 

  43. King TJ, McVittie JP, Saraswat KC, Pfiester JR (1994) “Electrical properties of heavily doped polycrystalline silicon-germanium films,” IEEE Transactions on Electron Devices 41:228

    Article  Google Scholar 

  44. King YC, Hu C, Fujioka H, Kamohara S (1998) “Small signal electron charge centroid model for quantization of inversion layer in a metal-on-insulator field-effect transistor”, Applied Physics Letters 72:3476–8

    Article  Google Scholar 

  45. Kumar A, Rajdev D, Douglass DL (1972) “Effect of Oxide Defect Structure on the Electrical Properties of ZrO2” Journal of the American Ceramics Society 55:439

    Google Scholar 

  46. Kumar A, Ning TH, Fischetti MV, Gusev E (2002) “Hot-Carrier Charge Trapping and Reliability in High-K Dielectrics,” Symposium on VLSI Technology Technical Digest of Papers, pp. 152–3

    Google Scholar 

  47. Lee CH, Lee JJ, Bai WP, Bae SH, Sim JH, Lei X, Clark RD, Harada Y, Niwa M, Kwong DL (2002) “Self-Aligned Ultra Thin HfO2 CMOS Transistors with High Quality CVD TaN Gate Electrode,” Symposium on VLSI Technology Technical Digest of Papers, pp. 82–3

    Google Scholar 

  48. Lee JH, Koh K, Lee NI, Cho KH, Kim YK, Jeon JS, Cho KH, Shin HS, Kim MH, Fujihara K, Kang HK, Moon JT (2000) “Effect of polysilicon gate on the flatband voltage shift and mobility degradation for ALD-Al2O3 gate dielectric,” Technical Digest of the International Electron Devices Meeting, pp. 645–8

    Google Scholar 

  49. Lee JH, Kim YS, Jung HS, Lee JH, Lee HI, Kang HK, Ku JH, Kang HS, Kim YK, Cho KH, Suh KP (2002) “Poly-Si Gate CMOSFETs with HfO2-Al2O3 Laminate Gate Dielectric for Low Power Applications,” Symposium on VLSI Technology Technical Digest of Papers, pp. 84–5

    Google Scholar 

  50. Lee JH, Ichikawa M (2002) “Analysis of interfacial silicates and silicides formed by annealing ultrathin Hf on SiO2: Effect of Hf/SiO2 thickness ratio,” Journal of Applied Physics 92:1929–1935

    Article  Google Scholar 

  51. Lim KY, Park DG, Cho HJ, Kim JJ, Yang JM, Choi IS, Yeo IS, Park JW (2002) “Electrical Characteristics and thermal stability of n+ polycrystalline-Si/ZrO2/SiO2/Si metal-oxide-semiconductor capacitors,” Journal of Applied Physics 91:414–9

    Article  Google Scholar 

  52. Lu Q, Lin R, Ranade P, Yeo YC, Meng X, Takeuchi H, King TJ, Hu C, Luan H, Lee S, Bai W, Lee CH, Kwong DL, Guo X, Wang X, Ma TP (2000) “Molybdenum Metal Gate MOS Technology for Post-SiO2 Gate Dielectrics,” Technical Digest of the International Electron Devices Meeting, pp. 641–4

    Google Scholar 

  53. Lu Q, Lin R, Ranade P, King TJ, Hu C (2001) “Metal gate work function adjustment for future CMOS technology,” Symposium on VLSI Technology Technical Digest of Papers, pp. 45–6

    Google Scholar 

  54. Lu Q, Takeuchi H, Meng X, King TJ, Hu C, Onishi K, Cho HJ, Lee J (2002) “Improved Performance of Ultra-Thin HfO2 CMOSFETs Using Poly-SiGe Gate,” Symposium on VLSI Technology Technical Digest of Papers, pp. 86–7

    Google Scholar 

  55. Lucovsky G, Wu Y, Niimi H, Misra V, Phillips JC (1999) “Bonding constraints and defect formation at interfaces between crystalline silicon and advanced single layer and composite gate dielectrics,” Applied Physics Letters 74:2005–7

    Article  Google Scholar 

  56. Lucovsky G, Rayner GB (2000) “Microscopic model for enhanced dielectric constants in low concentration SiO2-rich noncrystalline Zr and Hf silicate alloys,” Applied Physics Letters 77:2912–4

    Article  Google Scholar 

  57. Lucovsky G, Yang H, Niimi H, Keister J, Rowe J, Thorpe MF, Phillips JC (2000) “Intrinsic limitations on device performance and reliability from bond-constraint induced transition regions at interfaces of stacked dielectrics,” Journal of Vacuum Science and Technology B18:1742–8

    Google Scholar 

  58. Ma Y, Ono Y, Stecker L, Evans DR, Hsu ST (1999) “Zirconium oxide based gate dielectrics with equivalent oxide thickness of less than 1.0 nm and performance of submicron MOSFET using a nitride gate replacement process,” Technical Digest of the International Electron Devices Meeting, pp. 149–52

    Google Scholar 

  59. Maria JP, Wickasana D, Kingon AI, Busch B, Schulte H, Garfunkel E, Gustafson T (2001) “High temperature stability in lanthanum and zirconium-based gate dielectrics,” Journal of Applied Physics 90:3476–82

    Article  Google Scholar 

  60. Misra V, Heuss GP, Zhong H (2001) “The use of MOS Capacitors to Detect Interactions of Hf and Zr gate electrodes with SiO2 and ZrO2,” Applied Physics Letters 78:4166–8

    Article  Google Scholar 

  61. Misra V, Zhong H. Lazar H (2002) “Electrical Properties of Ru-Based Alloy Gate Electrodes for Dual Metal Gate Si-CMOS,” IEEE Electron Device Letters 23:354–6

    Article  Google Scholar 

  62. Miyazaki S, “Photoemission study of energy-band alignments and gap-state density distributions for high-k dielectrics”, J. Vacuum Science and Technology B19:2212–16

    Google Scholar 

  63. Muller DA, Sorsch T, Moccio S, Baumann FH, Evans-Lutterodt K, Timp G (1999) “The electronic structure at the atomic scale of ultrathin gate oxides”, Nature 399:758–61

    Article  Google Scholar 

  64. Muller DA, Wilk GD (2001) “Atomic scale measurements of the interfacial electronic structure and chemistry of zirconium silicate gate dielectrics,” Applied Physics Letters 79:4195–7

    Article  Google Scholar 

  65. Murarka SP (1983) “Silicides for VLSI Applications” (Academic Press, New York)

    Google Scholar 

  66. Murtaza S, Hu J, Unnikrishnan S, Rodder M, Chen I (1998) “Feasibility study to determine the suitability of using TiN/W and Si1−xGex as alternative gate materials for sub-0.1-um gate-length PMOS devices”, Proceedings of the SPIE 3506:49

    Article  Google Scholar 

  67. Neumeyer DA, Cartier E (2001) “Materials characterization of ZrO2-SiO2 and HfO2-SiO2binary oxides deposited by chemical solution deposition,” Journal of Applied Physics 90:1801–8

    Article  Google Scholar 

  68. Niu D, Ashcraft RW, Kelly M J, Chambers JJ, Klein TM, Parsons GN (2002) “Elementary reaction schemes for physical and chemical vapor deposition of transition metal oxides on silicon for high-κ gate dielectric applications,” Journal of Applied Physics 91:6173–80

    Article  Google Scholar 

  69. Onishi K, Kang L, Choi R, Dharmarajan E, Gopalan S, Jeon Y, Kang C, Lee B, Nieh R, Lee JC (2001) “Dopant penetration effects on polysilicon gate HfO2 MOSFET's” Symposium on VLSI Technology Technical Digest of Papers, p. 131

    Google Scholar 

  70. Onishi K, Kang L, Choi R, Cho HJ, Gopalan S, Nieh R, Dharmarajan E, Lee JC, (2001) “Reliability characteristics, including NBTI, of polysilicon gate HfO2 MOSFET's” Technical Digest of the International Electron Devices Meeting, pp. 30.3.1–4

    Google Scholar 

  71. Opila RL, Wilk GD, Alam MA, van Dover RB, Busch B (2002) “Photoemission study of Zr-and Hf-silicates for use as high-κ oxides: Role of second nearest neighbors and interface charge” Applied Physics Letters (in press)

    Google Scholar 

  72. Pankratz LB (1982) “Thermodynamic Properties of Elements and Oxides,” (U.S. Dept. of Interior, Bureau of Mines Bulletin 672, U.S. Govt. Printing Office, Washington, D.C., 1982)

    Google Scholar 

  73. Pantisano L, Lucci L, Cartier E, Kerber A, Groeseneken G, Green M, Selmi L, “Impact of band structure on charge trapping in thin SiO2/Al2O3/poly-Si gate stacks,” IEEE Electron Device Letters 25:320–2

    Google Scholar 

  74. Park DG, Cho H, Yeo IS, Roh JA, Hwang JM (2000) “Boron penetration in p+ polycrystalline-Si/Al2O3/Si metal-oxide-semiconductor system” Applied Physics Letters 77:2207–9

    Article  Google Scholar 

  75. Park DG, Lim KY, Cho HJ, Kim JJ, Yang JM, Ko J, Yeo IS, Park JW, de Waard H, Tuominem M (2002) “Boron penetration and thermal instability of p+ polycrystalline-Si/ZrO2/SiO2/n-Si metal-oxide-semiconductor structures” Journal of Applied Physics 91:65–8

    Article  Google Scholar 

  76. Philips JC (2000) “Stress and defects in silicate films and glasses,” Journal of Vacuum Science and Technology B18:1749–51

    Google Scholar 

  77. Pidin S, Morisaki Y, Sugita Y, Aoyama T, Irino K, Nakamura T, Sugii T (2002) “Low Standby Power CMOS with HfO2 Gate Oxide for 100-nm Generation,” Symposium on VLSI Technology Technical Digest of Papers, p. 28–9

    Google Scholar 

  78. Polishchuk I, Ranade P, King TJ, Hu C (2001) “Dual work function metal gate CMOS technology using metal interdiffusion” IEEE Electron Device Letters 22:444–6

    Article  Google Scholar 

  79. Ritala M, Leskelä M (2002) “Atomic Layer Deposition,” in Handbook of Thin Films Materials, Vol. 1: Deposition and Processing of Thin Films, ed. Nalwa HS, Academic Press, pp. 103–159

    Google Scholar 

  80. Qi WJ, Nieh R, Dharmarajan E, Lee BH, Jeon Y, Kang L, Onishi K, Lee JC (2000) “Ultrathin zirconium silicate film with good thermal stability for alternative gate dielectric application,” Applied Physics Letters 77:1704–6

    Article  Google Scholar 

  81. Quevedo-Lopez M, El-Bouanani M, Addepalli S, Duggan JL, Gnade BE, Wallace RM, Visokay MR, Douglas M, Bevan MJ, Colombo L (2001) “Thermally induced Zr incorporation into Si from zirconium silicate thin films,” Applied Physics Letters 79:2958–60

    Article  Google Scholar 

  82. Quevedo-Lopez M, El-Bouanani M, Addepalli S, Duggan JL, Gnade BE, Wallace RM, Visokay MR, Douglas M, Colombo L (2001) “Hafnium interdiffusion studies from hafnium silicate into silicon,” Applied Physics Letters 79:4192–4

    Article  Google Scholar 

  83. Quevedo-Lopez M, El-Bouanani M, Kim MJ, Gnade BE, Visokay MR, LiFatou A, Bevan MJ, Colombo L, Wallace RM (2002) “Boron Penetration in p+ Polycrystalline-Si / HfSixOy / Si(100) Systems,” Applied Physics Letters 81:1074–6

    Article  Google Scholar 

  84. Quevedo-Lopez M, El-Bouanani M, Kim MJ, Gnade BE, Visokay MR, LiFatou A, Bevan MJ, Colombo L, Wallace RM (2002) “Phosphorus and Arsenic Penetration Studies through HfSixOy and HfSixOyNz films,” Applied Physics Letters 81:1609–11

    Article  Google Scholar 

  85. Quevedo-Lopez M, El-Bouanani M, Gnade BE, Wallace RM, Visokay MR, Douglas M, Beven MJ, Colombo L (2002c) “Interdiffusion studies for HfSixOy and ZrSixOy on Si,” Journal of Applied Physics 92:3540–50

    Article  Google Scholar 

  86. Ragnarsson LA, Guha S, Copel M, Cartier E, Bojarczuk NA, Karasinski J (2001) “Molecular-beam-deposited yttrium-oxide dielectrics in aluminum-gated metal-oxide-semiconductor field-effect transistors: Effective electron mobility,” Applied Physics Letters 78:4169–4171

    Article  Google Scholar 

  87. Rios R, Arora ND (1994) “Determination of ultra-thin gate oxide thick-nesses for CMOS structures using quantum effects”, Technical Digest of the International Electron Devices Meeting, p. 613–6

    Google Scholar 

  88. Robertson J, Chen CW (1999) “Schottky barrier heights of tantalum oxide, barium strontium titanate, lead titanate, and strontium bismuth tantalate”, Applied Physics Letters 74:1168–70

    Article  Google Scholar 

  89. Robertson J (2000) “Band offsets of wide-band-gap oxides and implications for future electronic devices,” J. Vacuum Science and Technology B18:1785–91

    Article  Google Scholar 

  90. Robertson J (2002) “Band offsets of high dielectric constant gate oxides on silicon,” J. Non-Crystalline Solids 303:94–100

    Article  Google Scholar 

  91. Rotondaro ALP, Visokay MR, Chambers JJ, Shanware A, Khamankar R, Bu H, Laaksonen RT, Tsung L, Douglas M, Kuan R, Bevan MJ, Grider T, McPherson J, Colombo L (2002) “Advanced CMOS Transistors with a Novel HfSiON Gate Dielectric,” Symposium on VLSI Technology Technical Digest of Papers, pp. 148–9

    Google Scholar 

  92. Samavedam SB, Tseng HH, Tobin PJ, Mogab J, Dakshina-Murthy S, La LB, Smith J, Schaeffer J, Zavala M, Martin R, Nguyen BY, Hebert L, Adetutu O, Dhandapani V, Luo TY, Garcia R, Abramowitz P, Moosa M, Gilmer CC, Hobbs C, Taylor WJ, Grant J, Hegde R, Bagchi S, Luckowski E, Arunachalam V, Azrak M (2002) “Metal Gate MOSFETs with HfO2 Gate Dielectric,” Symposium on VLSI Technology Technical Digest of Papers, p. 24–5

    Google Scholar 

  93. Sayan S, Garfunkel E, Suzer S (2002), “Soft x-ray photoemission studies of the HfO2 /SiO2/Si system,” Applied Physics Letters 80:2135–7

    Article  Google Scholar 

  94. Schlom D, Haeni J (2002) “A Thermodynamic Approach to Selecting Alternative Gate Dielectrics,” Materials Research Society Bulletin 27:198–204

    Google Scholar 

  95. Seidel T, Ramanathan S, Londergan A, Lee E, Jansz A (2002) “Pathways in competitiveness for atomic layer deposition,” Proceedings of the AVS 3rd International Conference on Microelectronics and Interfaces, February 11–14, Santa Clara, CA, pp. 34–7

    Google Scholar 

  96. SIA (2001) “International Technology Roadmap for Semiconductors”, Semiconductor Industry Association. See: http://public.itrs.net/

    Google Scholar 

  97. Sneh O, Clark-Phelps RB, Londergan AR, Winkler J, Seidel TE (2002) “Thin film atomic layer deposition equipment for semiconductor processing,” Thin Solid Films 402:248–61

    Article  Google Scholar 

  98. Suh YS, Heuss GP, Zhong H, Hong SN, Misra V (2001) “Electrical characteristics of TaSiN gate electrodes for Dual Gate CMOS”, Symposium on VLSI Technology Technical Digest of Papers, p. 47

    Google Scholar 

  99. Suh YS, Heuss GP, Misra V (2002) “Electrical characteristics of TaSixNy/SiO2/Si structures by Fowler-Nordheim current analysis”, Applied Physics Letters 80:1403–5

    Article  Google Scholar 

  100. Tang S, Wallace RM, Seabaugh A, King-Smith D (1998) “Evaluating the minimum thickness of gate oxide on silicon using first-principles method,“ Applied Surface Science 135:137–42

    Article  Google Scholar 

  101. Tanida Y, Tamura Y, Miyagaki S, Yamaguchi M, Yoshida C, Sugiyama Y, Tanaka H (2002) “Effect of In-Situ Nitrogen Doping into MOCVD-Grown Al2O3 to Improve Electrical Characteristics of MOSFETs with Polysilicon Gate,” Symposium on VLSI Technology Technical Digest of Papers, p. 190

    Google Scholar 

  102. Timp G, Agarwal A, Baumann FH, Boone T, Buonanno M, Cirelli R, Donnelly V, Foad M, Grant D, Green M, Gossmann H, Hillenius S, Jackson J, Jacobson D, Kleiman R, Komblit A, Klemens F, Lee JT-C, Mansfield W, Moccio S (1997) “Low leakage, ultra-thin gate oxides for extremely high performance sub-100nm nMOSFETs”, Technical Digest of the International Electron Devices Meeting, pp. 930–2

    Google Scholar 

  103. Torii K, Shimamoto Y, Saito S, Tonomura O, Hiratani M, Manabe Y, Caymax M, Maes JW (2002) “The Mechanism of Mobility Degradation in MISFETs with Al2O3 Gate Dielectric,” Symposium on VLSI Technology Technical Digest of Papers, pp. 188–9

    Google Scholar 

  104. Tuominen M, Kanniainen T, Haukka S (2000) “High-k oxides by atomic layer chemical vapour deposition,” Proceedings of the Electrochemical Society 2000-9:271–282

    Google Scholar 

  105. Uejima K, Yamamoto T, Mogami T (2000) “Highly reliable poly-SiGe/amorphous-Si gate CMOS,” Technical Digest of the International Electron Devices Meeting, pp. 445–8

    Google Scholar 

  106. Visokay MR, Chambers JJ, Rotondaro ALP, Shanware A, Colombo L (2002) “Application of HfSiON as a gate dielectric material,” Applied Physics Letters 80:3183–5

    Article  Google Scholar 

  107. Vogel EM, Ahmed KZ, Hornung B, Kirklen-Henson W, Mclarty PK, Lucovsky G, Hauser J, Wortman JJ (1998) “Modeled tunnel currents for high dielectric constant dielectrics”, IEEE Transactions on Electron Devices 45:1350–5

    Article  Google Scholar 

  108. Wallace RM, Stolz RA, Wilk GD (2000) US Patents 6,013,553; 6,020,243; 6,291,866; 6,291,867

    Google Scholar 

  109. Wallace RM, Wilk G (2002) “High-κ Gate Dielectric Materials,” Materials Research Society Bulletin, March, pp. 192–7; also see this focus issue for reviews of other aspects on gate dielectric issues

    Google Scholar 

  110. Wang SQ, Mayer JW (1988) “Reactions of Zr thin films with SiO2 substrates,” J. Applied Physics 64:4711–6

    Article  Google Scholar 

  111. Watanabe H (2001) “Interface engineering of a ZrO2/SiO2/Si layered structure by in situ reoxidation and its oxygen-pressure-dependent thermal stability” Applied Physics Letters 78:3803–5

    Article  Google Scholar 

  112. Wilk GD, Wallace RM (1999) “Electrical properties of hafnium silicate gate dielectrics deposited directly on silicon,” Applied Physics Letters 74:2854–6

    Article  Google Scholar 

  113. Wilk GD, Wallace RM (2000) “Stable zirconium silicate gate dielectrics deposited directly on silicon,” Applied Physics Letters 76:112–4

    Article  Google Scholar 

  114. Wilk GD, Wallace RM, Anthony JM (2000) “Hafnium and zirconium silicates for advanced gate dielectrics,” Journal of Applied Physics 87:484–92

    Article  Google Scholar 

  115. Wilk GD, Wallace RM, Anthony JM (2001) “High-k Gate Dielectrics: Current Status and Materials Properties Considerations”, Journal of Applied Physics 89:5243–75

    Article  Google Scholar 

  116. Wilk G, Green M, Ho MY, Busch B, Sorsch T, Klemens F, Brijs B, van Dover R, Kornblit A, Gustafsson T, Garfunkel E, Hillenius S, Monroe D, Kalavade P, Hergenrother J (2002) “Improved Film Growth and Flatband Voltage Control of ALD HfO2 and Hf-Al-O with n+ Poly-Si Gates Using Chemical Oxides and Optimized Post-Annealing,” Symposium on VLSI Technology Technical Digest of Papers, pp. 88–9

    Google Scholar 

  117. Wong CY, Sun JY, Taur Y, Oh CS, Angelucci R, Davari B (1988) “Doping of n+ and p+ polysilicon in a dual-gate CMOS process”, Technical Digest of the International Electron Devices Meeting, pp. 238–41

    Google Scholar 

  118. Yang K, King Y-C, Hu C (1999) “Quantum Effect in Oxide Thickness Determination From Capacitance Measurement”, Symposium on VLSI Technology Technical Digest of Papers, pp. 77–78

    Google Scholar 

  119. Yamaguchi T, Satake H, Fukushima N, Toriumi A (2000) “Band Diagram and Carrier Conduction Mechanism in ZrO2/Zr-silicate/Si MIS Structure Fabricated by Pulsed Laser-ablation Deposition,” Technical Digest of the International Electron Devices Meeting, pp. 19–22

    Google Scholar 

  120. Yamaguchi T, Satake H, Fukushima N, (2001) “Degradation of Current Drivability by the Increase of Zr Concentrations in Zr-silicate,” Technical Digest of the International Electron Devices Meeting, pp. 30.4.1–4

    Google Scholar 

  121. Yeo YC, Ranade P, Lu Q, Lin R, King TJ, Hu C (2001) “Effects of high-κ dielectrics on the workfunctions of metal and silicon gates,” Symposium on VLSI Technology Technical Digest of Papers, pp. 49–50

    Google Scholar 

  122. Yeo YC, Ranade P, King TJ, Hu C (2002) “Effects of High-k Gate Dielectric Materials on Metal and Silicon Gate Workfunctions,” IEEE Electron Device Letters 23:342–4

    Article  Google Scholar 

  123. Zhong H, Heuss GP, Misra V (2000) “Electrical Properties of RuO2 gates for Dual Gate CMOS,” IEEE Electron Device Letters 21:593–5

    Article  Google Scholar 

  124. Zhong H, Heuss GP, Misra V, Luan H, Lee CH, Kwong DL (2001) “Characterization of RuO2 Electrodes on ZrSiO4and ZrO2 Dielectrics for Si-PMOSFETs”, Applied Physics Letters 78:1134–6

    Article  Google Scholar 

  125. Zhong H, Hong SN, Suh YS, Lazar H, Heuss G, Misra V (2001) “Properties of Ru-Ta Alloys as Gate Electrodes For NMOS and PMOS Silicon Devices,” Technical Digest of the IEEE International Electron Device Meeting, pp. 567–70

    Google Scholar 

Download references

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Wallace, R., Wilk, G. (2005). Materials Issues for High-k Gate Dielectric Selection and Integration. In: Huff, H., Gilmer, D. (eds) High Dielectric Constant Materials. Springer Series in Advanced Microelectronics, vol 16. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-26462-0_9

Download citation

Publish with us

Policies and ethics