Skip to main content

Automatic Test Pattern Generation

  • Conference paper
Formal Methods for Hardware Verification (SFM 2006)

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 3965))

Abstract

The postproduction test of integrated circuits is crucial to ensure a high quality of the final product. This test is carried out by checking the correct response of the chip under predefined input stimuli – or test patterns. These patterns are calculated by algorithms for Automatic Test Pattern Generation (ATPG).

The basic concepts and algorithms for ATPG are reviewed in this chapter. Then, an advanced SAT-based ATPG tool is introduced and emprically evaluated.

This work was supported in part by DFG grant DR 287/15-1.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Ali, M.F., Safarpour, S., Veneris, A., Abadir, M.S., Drechsler, R.: Post-verification debugging of hierarchical designs. In: Int’l. Conf. on CAD, pp. 871–876 (2005)

    Google Scholar 

  2. Biere, A., Cimatti, A., Clarke, E., Zhu, Y.: Symbolic model checking without bDDs. In: Cleaveland, W.R. (ed.) TACAS 1999. LNCS, vol. 1579, pp. 193–207. Springer, Heidelberg (1999)

    Chapter  Google Scholar 

  3. Boolean Satisfiability Research Group at Princeton University. ZCHAFF (2004), http://www.princeton.edu/~chaff/zchaff.html

  4. Brand, D.: Redundancy and don’t cares in logic synthesis. IEEE Trans. on Comp. 32(10), 947–952 (1983)

    Article  MathSciNet  MATH  Google Scholar 

  5. Breuer, M.A., Friedman, A.D.: Diagnosis & reliable design of digital systems. Computer Science Press, Rockville (1976)

    Book  MATH  Google Scholar 

  6. Cook, S.A.: The complexity of theorem proving procedures. In: ACM Symposium on Theory of Computing, vol. 3, pp. 151–158 (1971)

    Google Scholar 

  7. Davis, M., Logeman, G., Loveland, D.: A machine program for theorem proving. Comm. of the ACM 5, 394–397 (1962)

    Article  MathSciNet  MATH  Google Scholar 

  8. Davis, M., Putnam, H.: A computing procedure for quantification theory. Journal of the ACM 7, 506–521 (1960)

    Article  MathSciNet  MATH  Google Scholar 

  9. Drechsler, R.: Advanced Formal Verification. Kluwer Academic Publishers, Dordrecht (2004)

    Book  MATH  Google Scholar 

  10. Drechsler, R.: Using synthesis techniques in SAT solvers. In: ITG/GI/GMM-Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, pp. 165–173 (2004)

    Google Scholar 

  11. Eén, N., Biere, A.: Effective preprocessing in SAT through variable and clause elimination. In: Bacchus, F., Walsh, T. (eds.) SAT 2005. LNCS, vol. 3569, pp. 61–75. Springer, Heidelberg (2005)

    Chapter  Google Scholar 

  12. Eén, N., Sörensson, N.: An Extensible SAT-solver. In: Giunchiglia, E., Tacchella, A. (eds.) SAT 2003. LNCS, vol. 2919, pp. 502–518. Springer, Heidelberg (2004)

    Chapter  Google Scholar 

  13. Eichelberger, E.B., Williams, T.W.: A logic design structure for LSI testability. In: Design Automation Conf., pp. 462–468 (1977)

    Google Scholar 

  14. Fey, G., Safarpour, S., Veneris, A., Drechsler, R.: On the relation between simulation-based and SAT-based diagnosis. In: Design, Automation and Test in Europe (2006)

    Google Scholar 

  15. Fey, G., Shi, J., Drechsler, R.: Efficiency of multiple-valued encoding in SAT-based ATPG. In: Int’l. Symp. on Multi-Valued Logic (2006)

    Google Scholar 

  16. Friedman, A.D.: Easily testable iterative systems. IEEE Trans. on Comp. 22, 1061–1064 (1973)

    Article  MathSciNet  Google Scholar 

  17. Fujiwara, H., Shimono, T.: On the acceleration of test generation algorithms. IEEE Trans. on Comp. 32, 1137–1144 (1983)

    Article  Google Scholar 

  18. Goel, P.: An implicit enumeration algorithm to generate tests for combinational logic. IEEE Trans. on Comp. 30, 215–222 (1981)

    Article  MATH  Google Scholar 

  19. Goldberg, E., Novikov, Y.: BerkMin: a fast and robust SAT-solver. In: Design, Automation and Test in Europe, pp. 142–149 (2002)

    Google Scholar 

  20. Hsieh, E.R., Rasmussen, R.A., Vidunas, L.J., Davis, W.T.: Delay test generation. In: Design Automation Conf., pp. 486–491 (1977)

    Google Scholar 

  21. Jha, N., Gupta, S.: Testing of Digital Systems. Cambridge University Press, Cambridge (2003)

    Book  Google Scholar 

  22. Jin, H., Somenzi, F.: CirCUs: A hybrid satisfiability solver. In: H. Hoos, H., Mitchell, D.G. (eds.) SAT 2004. LNCS, vol. 3542, pp. 211–223. Springer, Heidelberg (2005)

    Chapter  Google Scholar 

  23. Kodandapani, K.L., Pradhan, D.K.: Undetectability of bridging faults and validity of stuck-at fault test sets. IEEE Trans. on Comp. C-29(1), 55–59 (1980)

    Article  MathSciNet  MATH  Google Scholar 

  24. Kuehlmann, A., Paruthi, V., Krohm, F., Ganai, M.K.: Robust Boolean reasoning for equivalence checking and functional property verification. IEEE Trans. on CAD 21(12), 1377–1394 (2002)

    Article  Google Scholar 

  25. Kunz, W.: HANNIBAL: An efficient tool for logic verification based on recursive learning. In: Int’l. Conf. on CAD, pp. 538–543 (1993)

    Google Scholar 

  26. Kunz, W., Pradhan, D.K.: Recursive learning: A new implication technique for efficient solutions of CAD problems: Test, verification and optimization. IEEE Trans. on CAD 13(9), 1143–1158 (1994)

    Article  Google Scholar 

  27. Larrabee, T.: Test pattern generation using Boolean satisfiability. IEEE Trans. on CAD 11, 4–15 (1992)

    Article  Google Scholar 

  28. Lee, H.K., Ha, D.S.: Atalanta: An efficient ATPG for combinational circuits. Technical Report 12, Dep. of Electrical Engineering, Virginia Polytechnic Institute and State University (1993)

    Google Scholar 

  29. Marques-Silva, J.: The impact of branching heuristics in propositional satisfiability algorithms. In: Barahona, P., Alferes, J.J. (eds.) EPIA 1999. LNCS (LNAI), vol. 1695, pp. 62–74. Springer, Heidelberg (1999)

    Chapter  Google Scholar 

  30. Marques-Silva, J.P., Sakallah, K.A.: Robust search algorithms for test pattern generation. Technical Report RT/02/97, Dept. of Informatics, Technical University of Lisbon, Lisbon, Protugal (January 1997)

    Google Scholar 

  31. Marques-Silva, J.P., Sakallah, K.A.: GRASP: A search algorithm for propositional satisfiability. IEEE Trans. on Comp. 48(5), 506–521 (1999)

    Article  MathSciNet  Google Scholar 

  32. Moskewicz, M.W., Madigan, C.F., Zhao, Y., Zhang, L., Malik, S.: Chaff: Engineering an efficient SAT solver. In: Design Automation Conf., pp. 530–535 (2001)

    Google Scholar 

  33. Niermann, T.M., Patel, J.H.: HITEC: A test generation package for sequential circuits. In: European Conf. on Design Automation, pp. 214–218 (1991)

    Google Scholar 

  34. Roth, J.P.: Diagnosis of automata failures: A calculus and a method. IBM J. Res. Dev. 10, 278–281 (1966)

    Article  MathSciNet  MATH  Google Scholar 

  35. Schulz, M., Trischler, E., Sarfert, T.: SOCRATES: A highly efficient automatic test pattern generation system. In: Int’l. Test Conf., pp. 1016–1026 (1987)

    Google Scholar 

  36. Sentovich, E., Singh, K., Lavagno, L., Moon, Ch., Murgai, R., Saldanha, A., Savoj, H., Stephan, P., Brayton, R., Sangiovanni-Vincentelli, A.: SIS: A system for sequential circuit synthesis. Technical report, University of Berkeley (1992)

    Google Scholar 

  37. Shi, J., Fey, G., Drechsler, R., Glowatz, A., Hapke, F., Schlöffel, J.: PASSAT: Effcient SAT-based test pattern generation for industrial circuits. In: IEEE Annual Symposium on VLSI, pp. 212–217 (2005)

    Google Scholar 

  38. Shi, J., Fey, G., Drechsler, R., Glowatz, A., Schlöffel, J., Hapke, F.: Experimental studies on SAT-based test pattern generation for industrial circuits. In: Int’l. Conf. on ASIC, pp. 967–970 (2005)

    Google Scholar 

  39. Smith, A., Veneris, A., Viglas, A.: Design diagnosis using Boolean satisfiability. In: ASP Design Automation Conf., pp. 218–223 (2004)

    Google Scholar 

  40. Smith, G.L.: Model for delay faults based upon paths. In: Int’l. Test Conf., pp. 342–349 (1985)

    Google Scholar 

  41. Stephan, P., Brayton, R.K., Sangiovanni-Vincentelli, A.L.: Combinational test generation using satisfiability. IEEE Trans. on CAD 15, 1167–1176 (1996)

    Article  Google Scholar 

  42. Storey, T.M., Barry, J.W.: Delay test simulation. In: Design Automation Conf., pp. 492–494 (1977)

    Google Scholar 

  43. Tafertshofer, P., Ganz, A.: SAT based ATPG using fast justification and propagation in the implication graph. In: Int’l. Conf. on CAD, pp. 139–146 (1999)

    Google Scholar 

  44. Tafertshofer, P., Ganz, A., Henftling, M.: A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. In: Int’l. Conf. on CAD, pp. 648–655 (1997)

    Google Scholar 

  45. Williams, M.J.Y., Angell, J.B.: Enhancing testability of large-scale integrated circuits via test points and additional logic. IEEE Trans. on Comp. C-22(1), 46–60 (1973)

    Article  Google Scholar 

  46. Zhang, L., Madigan, C.F., Moskewicz, M.H., Malik, S.: Efficient conflict driven learning in a Boolean satisfiability solver. In: Int’l. Conf. on CAD, pp. 279–285 (2001)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Drechsler, R., Fey, G. (2006). Automatic Test Pattern Generation. In: Bernardo, M., Cimatti, A. (eds) Formal Methods for Hardware Verification. SFM 2006. Lecture Notes in Computer Science, vol 3965. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11757283_2

Download citation

  • DOI: https://doi.org/10.1007/11757283_2

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-34304-2

  • Online ISBN: 978-3-540-34305-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics