Skip to main content

Test Program Generation from High-level Microprocessor Descriptions

  • Chapter

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 17))

6.1 Abstract

This chapter describes and analyzes a methodology for gathering together test-programs for microprocessor cores during the complete design cycle starting from early design phases. The methodology is based on an almost automatic tool and could be applied to generate test-programs for stand-alone microprocessor cores as well as for these embedded in systems-on-chip. The main idea is to take advantage of all possible microprocessor descriptions delivered through the whole design cycle to generate test-programs able to achieve a high FC% at gate-level. Most of the efforts of the methodology presented are focused on test program generation from high-level microprocessor descriptions. A case study is presented tackling a pipelined microprocessor core.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Agrawal V, Bushnell M (2000) Essentials of electronic testing for digital, memory and mixed-signal VLSI circuits. Norwell: Kluwer Academic Publishers

    Google Scholar 

  2. Batcher K, Papachristou C (1999) Instruction randomization self test for processor cores. In: IEEE VLSI Test Symposium, 34–40

    Google Scholar 

  3. Bieker U, Marwedel P (1995) Retargetable self-test program generation using constraint logic programming. In: 32nd ACM/IEEE Design Automation Conference, 605–611

    Google Scholar 

  4. Chen L, Dey S (2001) Software-based self-testing methodology for processor cores. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 20(3): 369–380

    Google Scholar 

  5. Chen L, Dey S (2000) DEFUSE: a deterministic functional self-test methodology for processors. In: IEEE VLSI Test Symposium, 255–262

    Google Scholar 

  6. Liu C-NJ, Chang C-Y, Jou J-Y, Lai M-C, Juan H-M (2000) A novel approach for functional coverage measurement in HDL circuits and systems. In: ISCAS2000: The 2000 IEEE International Symposium on Circuits and Systems, 217–220

    Google Scholar 

  7. Corno F, Sanchez E, Sonza Reorda M, Squillero G (2004) Automatic test program generation — a case study. IEEE Design & Test, Special issue on Benchmarking for Design and Test, 21(2): 102–109

    Google Scholar 

  8. Corno F, Sonza Reorda M, Squillero G (2003) Automatic test program generation for pipelined processors, In: SAC2003: The Eighteenth Annual ACM Symposium on Applied Computing, 736–740

    Google Scholar 

  9. Corno F, Sonza Reorda M, Squillero G, Violante M (2001) On the test of microprocessor IP cores. In: IEEE Design, Automation & Test in Europe, 209–213

    Google Scholar 

  10. Harman NA (2001) Verifying a simple pipelined microprocessor using Maude. In: Lecture Notes in Computer Science, vol 2267, 128–142

    MATH  Google Scholar 

  11. Kranitis N, Paschalis A, Gizopoulos D, Zorian Y (2002) Effective software self-test methodology for processor cores. In: IEEE Design, Automation & Test in Europe, 592–597

    Google Scholar 

  12. Kranitis N, Xenoulis G, Gizopoulos D, Paschalis A, Zorian Y (2003) Low-cost software-based self-testing of RISC processor cores. Computers and Digital Techniques, IEE Proceedings, 150(5): 355–60

    Google Scholar 

  13. MIPS TECHNOLOGIES. (2002) MIPS32ℳ architecture for programmers volume I: introduction to the MIPS32ℳ architecture. Revision 1.90. http://www.mips.com

    Google Scholar 

  14. Papachristou CA, Martin F, Nourani M (1999) Microprocessor based testing for core-based system on chip. In: ACM/IEEE Design Automation Conference, 586–591

    Google Scholar 

  15. Parvathala P, Maneparambil K, Lindsay W (2002) FRITS — a microprocessor functional BIST method. In: IEEE International Test Conference, 590–598

    Google Scholar 

  16. Paschalis A, Gizopoulos D (2004) Effective software-based self-test strategies for online periodic testing of embedded processors. In: Design, Automation and Test in Europe Conference and Exhibition, Volume: 1, 578–583

    Google Scholar 

  17. Plasma CPU Model. http://www.opencores.org/projects/mips

    Google Scholar 

  18. Rizk H, Papachristou C, Wolff F (2004) Designing self test programs for embedded DSP cores. In: IEEE Design Automation and Test in Europe Conference and Exhibition, 816–821

    Google Scholar 

  19. Semiconductor Industry Association (2002) International Technology Roadmap for Semiconductors 2002 Update, http://www.semichips.org/pre_stat.cfm

    Google Scholar 

  20. Shen J, Abraham J, Baker D, Hurson T, Kinkade M (1999) Functional verification of the Equator MAP1000 microprocessor. In: 36th ACM/IEEE Design Automation Conference, 169–174

    Google Scholar 

  21. Shen J, Abraham JA (1998) Native mode functional test generation for processors with applications to self-test and design validation. In: IEEE International Test Conference, 990–999

    Google Scholar 

  22. Thatte S, Abraham J (1980) Test generation for microprocessors. IEEE Transactions on Computers, C-29: 429–441

    MathSciNet  Google Scholar 

  23. Utamaphethai N, Blanton RD, Shen JP (1999) Superscalar processor validation at the microarchitecture level. In: 12th IEEE International Conference on VLSI Design, 300–305

    Google Scholar 

  24. Van Campenhout D, Mudge TN, Hayes JP (1999) High-level test generation for design verification of pipelined microprocessors. In: ACM/IEEE Design Automation Conference, 185–188

    Google Scholar 

  25. Velev MN, Bryant RE (2000) Formal verification of superscalar microprocessors with multicycle functional units, Exception, And Branch Prediction. In: ACM/IEEE Design Automation Conference, 112–117

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer-Verlag London Limited

About this chapter

Cite this chapter

Sánchez, E., Sonza Reorda, M., Squillero, G. (2005). Test Program Generation from High-level Microprocessor Descriptions. In: Sonza Reorda, M., Peng, Z., Violante, M. (eds) System-level Test and Validation of Hardware/Software Systems. Springer Series in Advanced Microelectronics, vol 17. Springer, London. https://doi.org/10.1007/1-84628-145-8_6

Download citation

  • DOI: https://doi.org/10.1007/1-84628-145-8_6

  • Publisher Name: Springer, London

  • Print ISBN: 978-1-85233-899-2

  • Online ISBN: 978-1-84628-145-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics