Skip to main content

Energy-Efficient Network-On-Chip Design

  • Chapter

Abstract

Performance and power consumption of multi-processor Systems-on-Chip (SoCs) are increasingly determined by the scalability properties of the on-chip communication architecture. Networks-on-Chip (NoCs) are a promising solution for efficient interconnection of SoC components. This chapter focuses on low power NoC design techniques, analyzing the related issues at different layers of abstraction and providing examples taken from the most advanced NoC implementations presented in the open literature. Particular emphasis is given to application-specific NoC architectures, in that they represent the most promising scenario for minimization of communication-energy in multi-processor SoCs.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. P. Aldworth, “System-on-a-Chip Bus Architecture for Embedded Applications,” IEEE International Conference on Computer Design, pp. 297–298, 1999.

    Google Scholar 

  2. “Xpipes: a Latency Insensitive Parameterized Network-on-chip A rchitecture For Multi-Processor SoCs”, M. Dall’Osso, G. Biccari, L. Giovannini, D. Bertozzi, L. Benini, Int. Conf. on Computer Design, pp.536–541, October 2003.

    Google Scholar 

  3. E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, “QNoC: QoS architecture and design process for Network on Chip”, Journal on Systems Architecture, Special Issue on Networks on Chip, December 2003.

    Google Scholar 

  4. I. Saastamoinen, D.S. Tortosa, J. Nurmi, “Interconnect IP Node for Future System-on-Chip Designs”, IEEE Int. Work. on Electronic Design, Test and Applications, pp.116–120, January 2002.

    Google Scholar 

  5. C.T. Hsieh, M. Pedram, “Architectural Energy Optimization by Bus Splitting,” IEEE Trans. CAD, Vol.21,issue 4, pp.408–414, April 2002.

    Google Scholar 

  6. J. Liang, S. Swaminathan, R. Tessier, “aSOC: A Scalable, Single-Chip Communication Architecture,” IEEE Int. Conf. on Parallel Architectures and Compilation Techniques, pp.37–46, October 2000.

    Google Scholar 

  7. S. Murali, G. De Micheli, “Bandwidth-Constrained Mapping of Cores onto NoC Architectures”, Design Automation and Testing in Europe, 2004, pp.20896–20901.

    Google Scholar 

  8. L. Bisdounis, C. Dre, S. Blionas, D. Metafas, A. Tatsaki, F. Ieromninon, E. Macii, P. Rouzet, R. Zafalon, L. Benini “Low-Power System-on-Chip Architecture for Wireless LANs,” IEE Proc.-Comput. Digit. Tech., Vol.151,no 1, January 2004.

    Google Scholar 

  9. K. Lee, S.J. Lee, S.E. Kim, H.M. Choi, D. Kim, S. Kim, M.W. Lee, H.J. Yoo, “A 51mW 1.6GHz On-Chip Network for Low Power Heterogeneous SoC Platform”, IEEE Int.Solid-State Circuits Conference, pp.1–3, 2004.

    Google Scholar 

  10. S.J. Lee et al., “An 800MHz Star-Connected On-Chip Network for Application to Systems on a Chip ”, IEEE Int.Solid-State Circuits Conference, pp.468–469, February 2003.

    Google Scholar 

  11. W. Bainbridge, S. Furber, “Delay insensitive system-on-chip interconnect using 1-of-4 data encoding,” IEEE International Symposium on Asynchronous Circuits and Systems, pp. 118–126, 2001.

    Google Scholar 

  12. D. Bertozzi, L. Benini and G. De Micheli, “Low-Power Error-Resilient Encoding for On-chip Data Busses,” DATE, International Conference on Design and Test Europe Paris, 2000, pp. 102–109.

    Google Scholar 

  13. Dally, W.; Towles, B.; “Route Packets, Not Wires: On-Chip Interconnection Networks” 38th Design Automation Conference, 2001. Proceedings

    Google Scholar 

  14. B. Cordan, “An efficient bus architecture for system-on-chip design,” IEEE Custom Integrated Circuits Conference, pp. 623–626, 1999.

    Google Scholar 

  15. Dally, W.J; Aoki, H. “Deadlock-free adaptive routing in multicomputer networks using virtual channels” IEEE Trans. on Parallel and Distributed Systems, April 1993

    Google Scholar 

  16. W. Dally and J. Poulton, Digital Systems Engineering, Cambridge University Press, 1998.

    Google Scholar 

  17. J. Duato, S. Yalamanchili, L. Ni, Interconnection Networks: an Engineering Approach. IEEE Computer Society Press, 1997.

    Google Scholar 

  18. R. Hegde, N. Shanbhag, “Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise,” IEEE Transactions on VLSI Systems, pp. 379–391, vol. 8,no. 4, August 2000.

    Google Scholar 

  19. R. Hegde, N. Shanbhag, “Toward achieving energy efficiency in presence of deep submicron noise,” IEEE Transactions on VLSI Systems, pp. 379–391, vol. 8,no. 4, August 2000.

    Google Scholar 

  20. R. Ho, K. Mai, M. Horowitz, “The Future of wires,” Proceedings of the IEEE, January 2001.

    Google Scholar 

  21. Karim, F.; Nguyen, A.; Dey, S. “On-chip Communication Architecture for OC-768 Network Processors” 38th Design Automation Conference, 2001. Proceedings

    Google Scholar 

  22. E. Nilsson “Design and Implementation of a Hot-Potato Switch in a Network on Chip” Master of Science Thesis, LECS, Royal Institute of Technology

    Google Scholar 

  23. Li Shang and Li-Shiuan Peh and Niraj K. Jha, “Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks,” HPCA — Proceedings of the International Symposium on High Performance Computer Architecture, Anaheim, February 2003, pp. 91–102.

    Google Scholar 

  24. Singh, J. P.; Weber, W.; Gupta, A,; “SPLASH: Stanford Parallel Applications for Shared-Memory” Computer Architecture News, vol. 20,no. 1

    Google Scholar 

  25. J. Walrand, P. Varaiya, High-Performance Communication Networks. Morgan Kaufman, 2000.

    Google Scholar 

  26. F. Worm, P. Ienne, P. Thiran and G. De Micheli, “An Adaptive Low-power Transmission Scheme for On-chip Networks,” ISSS, Proceedings of the International Symposium on System Synthesis, Kyoto, October 2002, pp. 92–100.

    Google Scholar 

  27. R. Yoshimura, T. Koat, S. Hatanaka, T. Matsuoka, K. Taniguchi, “DS-CDMA wired bus with simple interconnection topology for parallel processing system LSIs,” IEEE Solid-State Circuits Conference, pp. 371–371, Jan. 2000.

    Google Scholar 

  28. Ye, T. T.; Benini, L.; De Micheli, G.; “Packetized On-Chip Interconnect Communication Analysis for MPSoC” Design Automation and Test in Europe, DATE 2003 Proceedings

    Google Scholar 

  29. H. Zhang, V. George, J. Rabaey, “Low-swing on-chip signaling techniques: effectiveness and robustness,” IEEE Transactions on VLSI Systems, vol. 8,no. 3, pp. 264–272, June 2000.

    Google Scholar 

  30. H. Zhang, M. Wan, V. George, J. Rabaey, “Interconnect architecture exploration for low-energy configurable single-chip DSPs,” IEEE Computer Society Workshop on VLSI, pp. 2–8, 1999.

    Google Scholar 

  31. C.H. Zeferino, A.A. Susin, “SoCIN: A Parametric and Scalable Network-on-Chip,” Symposium on Integrated Circuits and Systems Design SBCCI’03, pp. 169–174, September 2003.

    Google Scholar 

  32. F. Poletti, D. Bertozzi,L. Benini,A. Bogliolo, “Performance Analysis of Arbitration Policies for SoC Communication Architectures,” Journal on Design Automation for Embedded Systems, Kluwer, pp. 189–210, 2003.

    Google Scholar 

  33. IBM CoreConnect bus architecture, “http://www-3.ibm.com/chips/products/coreconnect”

    Google Scholar 

  34. AMBA Multi-Layer AHB and AHB-Lite, “http://www.arm.com/products/solutions/AMBAAHBandLite.html”

    Google Scholar 

  35. E.B. Van der Tol, E.G.T. Jaspers, “Mapping ofMPEG-4 Decoding on a Flexible Architecture Platform”, SPIE 2002, pp. 1–13, Jan, 2002.

    Google Scholar 

  36. E.G.T. Jaspers, et al.,“Chip-set for Video Display of Multimedia Information”, IEEE Trans. on Consumer Electronics, Vol.45,No. 3, pp. 707–716, Aug, 1999.

    Google Scholar 

  37. R.H. Havemann, J.A. Hutchby, “High-Performance Interconnects: An Integration Overview”, Proceedings of the IEEE, Vol.89,no 5, pp.586–601, May 2001

    Article  Google Scholar 

  38. K. Lahiri, A. Raghunathan, S. Dey, “Communication Architecture Based Power Management for Battery Efficient System Design”, Proc. ACM/IEEE DAC, pp.691–696, 2002.

    Google Scholar 

  39. A. Laffely, J. Liang, R. Tessier, W. Burleson, “Adaptive System on Chip (aSoC): a Backbone for Power-Aware Signal Processing Cores”, Int. Conf. on Image Processing, pp.105–108 (III), 2003.

    Google Scholar 

  40. V. Raghunathan, M.B. Srivastava, R.K. Gupta, “A Survey of Techniques for Energy Efficient On-Chip Communication”, DAC 2003, pp.900–905, June 2003.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2004 Springer Science + Business Media, Inc.

About this chapter

Cite this chapter

Bertozzi, D., Benini, L., De Micheli, G. (2004). Energy-Efficient Network-On-Chip Design. In: Macii, E. (eds) Ultra Low-Power Electronics and Design. Springer, Boston, MA. https://doi.org/10.1007/1-4020-8076-X_12

Download citation

  • DOI: https://doi.org/10.1007/1-4020-8076-X_12

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-8075-3

  • Online ISBN: 978-1-4020-8076-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics